ISE8.1 symulacja

Loading thread data ...

Czy sprawdziłeś wartość sygnału sload w testbench'u ? Jezeli sload=1 , to nie ma się czemu dziwić. Jeżeli sload=0 , to nie wiem. A tak nawiasem mówiąc , to symulacja w ISE jest do d... ! Cholery można z tym dostać. Fajnie było w Foundation.

MH

Reply to
Mariusz Hajduk

Problem nie dawał mi spokoju , więc spróbowałem symulację zgodnie z Twoim żródłem (ISE7.1). Efekt ten sam. Popełniasz następujący błąd przy symulacji (kod żródłowy jest OK) :

1) Na początku symulator nie zna wartości rejestru result_reg , w związku z czym nie wiadomo jaki może być wynik inkrementacji tego rejestru.

2) Rozwiązanie bardzo proste (modyfikacja testbench'a) :

- ustaw wejście data na 0 (może być dowolna liczba), następnie sload na 1 , zpowrotem sload na 0.

Co to daje ? Określasz konkretnie zawartość rejestru result_reg , a potem wszystko działa normalnie.

Pozdr. ,

MH

Reply to
Mariusz Hajduk

Artur snipped-for-privacy@poczta.onet.pl> napisał(a):

Zakladajac ze wartosc sload nie jest równa '1' to licznik powinien sie krecic, przynajmniej przez pierwsze 32 okresy zegara, zanim nie zostanie przekroczona maksymalna wartosc zadeklarowana dla rejestru licznika.

Moze spróbuj zmienic typ sygnalu rejestru i portów danych na std_logic_vector(4 downto 0)

Reply to
g.d.

Eee tam. Guzik prawda. Dlaczego przez pierwsze 32 okresy ?? Skoro jest to licznik 32-bitowy , to do jego "przekręcenia" potrzeba (4294967296 - data) okresów. Mało tego. Powinien kręcić się dalej od zera , niezależnie od wartości podanej na port "data".

Cooo ??? Borygo piłeś ? Ogólna zasada : jak się na czymś nie znam , nie udzielam w danym temacie porad.

MH

P.S. Rozwiązanie (przetestowane) jest w moim poprzednim poście.

Reply to
Mariusz Hajduk

Mariusz Hajduk snipped-for-privacy@o2.pl napisał(a):

Ponieważ sygnał sygnał przechowujacy stan licznika to integer z zakresu od 0 do 31.

To nie jest licznik 32 bitowy.

Nie, dzieki. Ale wypij moje zdrowie ;-)

No i tutaj sie z Tobą w pełni zgadzam.

Reply to
g.d.

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.