Programmare FPGA

Ciao a tutti. Devo programmare una Digilent 2-SB (con chip Xilinx Spartan 2E) accoppiata ad una digilent Digital I/O 4 per una tesina sperimentale al fine di passare un'esame ho deciso di effettuare la programmazione utilizzando Handel-C, ma il problema è che non so da dove iniziare! Ho scaricato i manuali dei due dispositivi sopracitati, ma in totale ci sono

14 pagine. Il primo scoglio è riuscire a trasferire un file dal pc alla scheda tramite porta seriale e per far questo credo che ho necessità di conoscere le specifiche. Sapreste darmi qualche consiglio o suggerirmi qualche fonte utile affinchè possa iniziare? Grazie mille anticipatamente
Reply to
Roberto
Loading thread data ...

passare

sono

tramite

Non conoscevo queste schede, ho visto il sito e mi sembrano interessanti almeno per il prezzo. Partire da zero non e' semplice e io non consiglierei mai di partire con l'Handel C proprio per l'eccessiva astrazione che consente/obbliga. Ti servira' in ogni caso il software ISE della Xilinx e li' troverai anche le utility per la configurazione delle FPGA con l'apposita interfaccia. Secondo me dovresti partire dalla documentazione della Xilinx sia delle FPGA che del software. Se invece l'unico obiettivo e' passare l'esame, allora qualunque percorso puo' essere buono.

Reply to
Valeria Dal Monte

"Valeria Dal Monte" ha scritto nel messaggio

ho scelto questo linguaggio poichè ci è stato suggerito dal prof. insieme al vhdl. diciamo che ho possibilità di scelta. Il VHDL l'aveva già studiato ai tempi di reti logiche e non mi è piaciuto tantissimo. Poi l'handel-c mi intriga e conoscere un nuovo linguaggio non fa mai male

ok...avevo già scaricato l'ISE 7.1 Ma a che serve questo software? Quando programmavo in VHDL lo utilizzavo per analizzare il listato scritto utilizzando le waveforms. Se programmo in Handel-C posso utilizzare sempre le waveforms messe a disposizione dall'ISE Xilinx? Scusa se queste domande sono banali, ma il prof. non ci ha detto molto e quindi sono all'asciutto e cerco di documentarmi per ora

ok!

no...e vabbè....a che ci sono tanto vale fare le cose per bene :-) Grazie

Reply to
Roberto

al

ai

Visto che conosci il vhdl, ti converrebbe usare quello. Linguaggi a piu' alto livello come Handel C vanno bene per sviluppare velocemente oppure per lavori estremamente complessi, ma producono risultati scadenti in termini di prestazioni e occupazione di silicio. E comunque non esonera dalla conoscenza di tutto il resto.

anche

Sfortunatamente non sono la persona migliore per spiegarti l'ISE di Xilinx semplicemente perche' non lo uso! Immagino pero' che il tutto funzioni come per gli altri tools di sviluppo. Probabilmente Handel C viene integrato all'interno di ISE e potrai scrivere i listati nello stesso modo del VHDL e la compilazione avverra' in modo simile. Poi tutte le operazioni di simulazione, place and route, ecc. saranno identiche. Almeno suppongo! Spero che qualcuno possa confermare o smentire.

Reply to
Valeria Dal Monte

"Valeria Dal Monte" ha scritto nel messaggio

ah! ora che ci penso: per l'handel-C si usa il DK, un software a quanto pare simile all'ISE sviluppato dalla celoxica (che è poi anche l'azienda che ha introdotto l'handel-C) Mah...io frequento ing. inf. a Palermo e da noi sono fissati oltre che col VHDL con l'handel-C. Chissà perchè a sto punto.

Reply to
Roberto

Roberto ha scritto:

Come ti hanno risposto gli altri, ti sconsiglio di usare Handel-C e usare VHDL. Riesci ad avere il miglior controllo su quello che fai e per progetti anche complessi il VHDL (o Verilog) rimane la soluzione migliore

Ti serve l'ISE webpack che ti permette di scrivere il codice, fare la sintesi, la simulazione e di programmare l'FPGA. Sul sito della Xilinx trovi un tutorial sull'ISE che ti guida passo passo il tutte le fasi. Per quanto riguarda la programmazione dell'FPGA ti serve un'interfaccia JTAG da attaccare ad esempio alla porta parallela (guarda sul sito della digilent per farti un'idea). Lo schema si trova sul sito della Xilinx o in giro per la rete. Vista la semplicità puoi farlo anche su millefori.

Per quanto riguarda la documentazione ti serve lo schema della scheda e magari il file con l'assegnazione dei pin dell'FPGA (trovi sul sito della digilent), l'handbook della spartan 2 per vedere quali sono le caratteristiche del componente che usi (sito xilinx).

Matteo

Reply to
Vit Matteo

Se non avessi scritto questa frase ti avrei risposto esattamente come Valeria: se vuoi ottenere una buona implementazione (compatta e veloce) devi prima di tutto conoscere bene l'hardware che stai usando (quindi leggerti bene il datasheet delle SpartanII, quelli veri, non il manuale della scheda di sviluppo...), ed usare un linguaggio di descrizione dell'hardware vero e proprio (VHDL in questo caso) che ti fa capire cosa stai facendo. L'Handel-C praticamente non è usato in campo elettronico, perchè a causa dell'alto livello di astrazione da un lato ti nasconde i dettagli dell'implementazione, dall'altro è poco efficiente. Di solito i linguaggi tipo C si usano per la modellazione e la verifica preliminare di sistemi complessi. Però dal momento che studi informatica questi aspetti non sono così importanti... (!) Ovviamente ricordati sempre che sia il VHDL sia l'Handel-C NON SONO "linguaggi di programmazione", e quindi richiedono di cambiare un pò mentalità quando li si usa.

Perchè loro usano delle schede della Celoxica abbastanza sofisticate, e per le applicazioni che realizzano l'Handel-C è più veloce da scrivere ed adeguato in termini di prestazioni. Io comunque, soprattutto all'inizio, ti consiglio di usare il VHDL, perchè puoi capire meglio quello che stai facendo, ed inoltre puoi usare l'ISE che ha già tutto quello che serve dentro.

Ciao, A.D.

Reply to
A.D.

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.