orcad 9.1 express

Hello: I'm newbie to Orcad 9.1 express, I'd like to synthesize a gal22v10 with a simple vhdl file just to test, I wrote the following file:

library ieee;

use ieee.std_logic_1164.all; use ieee.STD_LOGIC_UNSIGNED.all; use WORK.mylib1.all;

entity cmp_bdt is port( CLOCK : in std_logic; RESET : in std_logic; CK1 : out std_logic; CK2 : out std_logic; CK3 : out std_logic); end cmp_bdt;

architecture arch_behavioral of cmp_bdt is -- Component declarations -- Signal declarations signal cpt : std_logic_vector(2 downto 0); begin

GEN_CPT: process(CLOCK,RESET) begin if (CLOCK'event and CLOCK='1') then if (RESET='1') then CPT

Reply to
morosh
Loading thread data ...

Well "doh"! Orcad is a reasonably good analog schematic capture tool, but it is not a front end to VHDL or Verilog; they have their own front ends.

--
JosephKK
Gegen dummheit kampfen Die Gotter Selbst, vergebens.  
--Shiller
Reply to
Joseph2k

Actually, no. Orcad Express was a VHDL tool, that used Capture as its front end, and not too bad a one at that. It was lost after the purchase by Cadence.

Charlie

Reply to
Charlie Edmondson

If you find it so fine for you. I had always accepted that VHDL was a move to behavioral modeling (ignited by Conway-Meade) per the US military VHSIC program; which was a move away transistor level modeling. Of course a good generalized schematic capture tool might very well do the job. Don't get me wrong, i liked Orcad back in the 1980's when i had a running version.

--
JosephKK
Gegen dummheit kampfen die Gotter Selbst, vergebens.  
--Schiller
Reply to
Joseph2k

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.