Xilinx VHDL Attribute syntax error

Hello,

I was switching UCF lines to VHDL attributes when I came into this syntax error. Can someone suggest what the problem is?

#UCF file works fine INST cam2_x0_ibufd_inst DIFF_TERM = TRUE; INST cam1_x0_obufds IOSTANDARD=LVDSEXT_25;

-- VHDL attribute DIFF_TERM : boolean; attribute DIFF_TERM of cam2_x0_ibufd_inst:label is true; -- works attribute IOSTANDARD : string; attribute IOSTANDARD of cam1_x0_obufds:label is LVDSEXT_25; -- syntax error

begin

The syntax error is -- Undefined symbol 'LVDSEXT_25'

Thanks,

Brad Smallridge AiVision

Reply to
Brad Smallridge
Loading thread data ...

attribute IOSTANDARD of cam1_x0_obufds:label is "LVDSEXT_25";

Is it that you need quotes?

Dunno, try it! HTH, Syms.

Reply to
Symon

That's it! Argh!

Thanks,

Brad

Reply to
Brad Smallridge

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.