obufds attribute problem

Hi,

I want to feed data from a piso into a obufds. I have my code attached. When I try to synthesize it, I get this error:

ERROR:HDLParsers:850 - "D:/Daten/xilinx/led_test/led_test/led_test.vhd" Line 183. Formal port IOSTANDARD does not exist in Component 'OBUFDS'.

I have the component declared like this:

component OBUFDS is port (I : in STD_LOGIC; O : out STD_LOGIC; OB : out STD_LOGIC); end component;

I can not find the error.

Another question: Can I connect a normal net to a obufds? Or should I put a buf manually in front of it?

regards, Benjamin

My code:

-- generates serial data for one lvds-pair process (lvds_tick) begin if load_lvds= '1' then reg lvds_1_out_n, -- Diff_n output (connect directly to top-level port) I => lvds_1_in -- Buffer input );

Reply to
Benjamin Menküc
Loading thread data ...

Hi,

I found an answer to my question about the attribute here:

formatting link

regards, Benjamin

Reply to
Benjamin Menküc

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.