help !something wrong with Adaptive Filter (vhdl code)

3 parts partial products multiplier tap;LMP Adaptive Filter;Direct form fir filter core but quartus report that: Error (10334): VHDL error at tap.vhd(82): entity "tsb" is used but not declared 2 sections related to "tsb":

architecture Behavioral of tsb is signal fo1, fo2 : std_logic; signal data0 : std_logic_vector(3 downto 0); signal data1 : std_logic_vector(3 downto 0); signal data2 : std_logic_vector(3 downto 0); signal data3 : std_logic_vector(3 downto 0); begin process(clk) begin if clk'event and clk = '1' then data0

Reply to
kangwei365
Loading thread data ...

The *entity* tsb is missing. That is causing the error. You can not do this tsb instance:

TSB_1 : tsb port map(clk, Read_Data, tsb_out, First_oct);

without a tsb entity.

Next time consider using direct instances instead of components.

-- Mike Treseler

Reply to
Mike Treseler

thank you for your help ,i have solved the problem

Reply to
kangwei365

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.