Xilinx SecureIP simulation and third-party simulators?

Starting with ISE 10.1, has begun migrating some hard-IP simulation models from Smartmodel to "SecureIP." For now, the SecureIP blocks can only be simulated in 1 simulator: Modelsim 6.3c (or later)

" AR #30975 - 10.1 SecureIP libraries - Does NCSIM and VCS support Secure IP flow?"

formatting link
Answer: SecureIP in NCSIM and VCS will be supported starting in ISE 11.1

Ok, so I guess the question is, when is ISE 11.1 planned for release? Also, will the Aldec simulators (Active-HDL, Riviera) be supported, too?

Reply to
SynopsysFPGAexpress
Loading thread data ...

I also seems to require a Verilog License(?):

formatting link

But then this answer seems to indicate you can use VHDL (look at the solution) or at least after 10.1 SP2

formatting link

If Verilog is required than I hope that Xilinx is kind enough to support both Smartmodels and SecureIP until VHDL 4.0/2008 is supported (support encryption in the same way as Verilog),

Hans

formatting link

Reply to
HT-Lab

Hi

11.1 is planned to be released a year after 10.1. That means plan for March 2009. Xilinx is considering working with some customers on a beta program to get feedback for the NCSim and Synopsys support. If interested in this, please contact your Xilinx FAE.

Aldec simulators are not supported by Xilinx and that is why you will probably need to talk to Aldec to get their roadmaps.

Thanks Duth

Reply to
Duth

Hi,

The problem is not just that VHDL does not have an encryption technology. The main issue is that the IP for these blocks are delivered in verilog only and that is why you will need to have a mixed-language license in order to simulate your VHDL design.This was always the case right from the beginning you do get a VHDL wrapper although it will call an underlying verilog model. For the reasons I provided in the last post. As far as Smartmodel support, it really is a dying product for Xilinx as smartmodels are not supported on windows and that will put our offering at risk. This is why we needed to move away from this method. Similarly the new encryption methods do not leverage any SWIFT interface and so you should see significant performance improvements. In summary you can continue to design in VHDL although when simulating be aware you will need a mixed language license as stated in the Synthesis and Simulation Design Guide. If cost is an issue please bring this up with the simulator vendor that you use, also note that you can simulate these models for free using the Xilinx ISim product as well.

Thanks Duth

Reply to
Duth

Thank you for an straightforward response. When I contacted Aldec about Verilog-2005 SecureIP support, they hinted that the vendor (Xilinx) must provide an encrypted model for the Aldec-simulator, i.e., the IP-publisher is responsible for re-generating the IP-model on each supported platform (simulator.) Here's Aldec's apnote on EDK 10.1:

formatting link

"Import and Simulation Behavioral model including SecureIP encryption.

Currently, the MicroBlaze behavioral model is not provided for Aldec Active-HDL7.3sp1 simulator. If you are interested in it please ask Xilinx support to encrypt them as SecureIP for us."

I don't know whether that's really the case... I assume the *real* issue is more complicated than just this, otherwise EDK 10.1 would have shipped with out-of-the-box Synopsys/VCS and Cadence/NCsim support?

Reply to
wdc.crest2

askXilinxsupport to encrypt them as SecureIP for us."

Hi,

You are correct in the assumption that is not just a matter of encrypting and delivering. In order to support a new simulator a lot more is involved. We need to have the correct support, engineering and testing infrastructure to handle a new simulator. If we just encrypt and ship out the product, the quality will be extremely poor. If you have questions no one in Xilinx will be able to assist you and if you find issues no one will have the knowledge to fix it. As you can see for a complete solution we need to spend a lot more than just encrypting and delivering. There is another side of this where Aldec may accept to take on support, although since this is a proprietary IP, we cant take a risk of encrypting with a vendor that we do not have a NDA agreement with. With the example of EDK and VCS lack of support it really came down to priorities. Although since Synopsys is a partner we will raise the priority if the demand from customers for this flow increases.

This a glimpse to what is really involved.

Hope this helps

Thanks Duth

Reply to
Duth

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.