Xilinx Legal

One more link that which is the actual ruling made by the US Court of Appeals for the 9th Circuit:

formatting link
$file/0317323.pdf?openelement

The first half discusses the mask claims, the latter half discusses the SW License claims on pages 17-23. Specifically on the bottom of page 23 the court found:

Altera customers cannot use the software, and therefore create the bitstreams, without agreeing to the licensing agreement, including the permitted use restriction. In essence, a valid contract is a prerequisite to the creation of a bitstream from Altera software, and the jury could logically conclude that valid contracts were formed via the Altera licensing agreements before customers sent bitstreams to Clear Logic. We therefore affirm the district court?s denial of judgment (sic) as a matter of law on the final claim.

Maybe we could now move any further legal oriented threads to comp.arch.fpga.legal :-) and get back to technical issues and discussions. I know at least that this will be my last post on this thread and the XDL/Open Source License threads.

Ed

-- Xilinx Inc.

Reply to
Ed McGettigan
Loading thread data ...

Ed McGettigan schrieb:

Interesting. They invoke the Semiconductor Chip Protection Act by stating that the bitstream format contains information on the structure of alteras FPGA circuits and that it therefore something like a copy of alteras circuit layout was created.

Clear Logic seems to believe that the jury was confused about what exactly was copied. I think that is very likely.

You are allowed to reverse engineer if you incorporate the results in an original work. As I understand it clear logics mask is very different from alteras in that there is no configuration logic, sram cells, etc. There should be less then 1/6 of the transistors. Of course the structure will be similar, but what else should "incorporate the results" mean, clearly similarities are allowed?

Still, that ruling does not apply to using an altera bitstream in a Xilinx FPGA oder implementing an altera bitstream in an ASIC (that is not similar to alteras FPGA structure)

Kolja Sulimma

Reply to
Kolja Sulimma

comp.arch.fpga.legal :-)

will

Thanks for the clearification Ed, and the small dose of contract law is good for everyone to keep them out of the defendants chair and having to learn a whole lot more. This statement about the enforcability of an EULA contract terms should be a wake up call to those that haven't thought these issues thru.

In summary, the EULA contract NDA supercedes all other rights you might have to similar information in other settings. The confusion this week over the concepts of copyright fair use while under NDA, over contridictory statements about the open nature of VDL, and other rights issues have all been useful to learn and think about so we can protect ourselves and the IP we agree to use.

Hopefully it's also been a learning exercise for Xilinx too. The real economic value for Xilinx is the sale of it's chips, and at some point open source access to the tool chain will greatly benefit the companies sales by expanding uses into new markets that the existing tool chain doesn't support. Certainly easy access to Xilinx product for personal research by students and hobbiests is a market builder that yields long term benefits as those individuals influence purchasing decisions for their employers and the companies they own.

Reply to
fpga_toys

Surely this is purely academic nitpicking going on here. Xilinx's ownership of your bitstream (which you agreed to when you installed their software) simply provides them a legal recourse should you try to retarget it to a different vendor's technology.

They're in the business of selling chips, and with development systems like WebPack they make available free tools (which they invest massive amounts of money in) to reduce the cost to you to develop. They're not going to try to assert ownership of your Whizbang5000 IP - get real.

I know people like to rant and rave about stuff like this. But for all practical purposes it's a no-op.

Paul.

Reply to
Paul Marciano

Then why did the installer made me agree to the GPL and LGPL? I thought it was because Xilinx's software (ISE Foundation) included some open source software.

Reply to
Eric Smith

Actually.. yes.. if you look at the output files .. you will see it detecting and replacing counters, comparators, state machines, ram etc.

Simon

Reply to
Simon Peacock

That is not quite entirely true - binaries build with gcc *are* affected by gcc's licenses. In particular, patterns of assembly code generated by gcc are generated verbatim from gcc's source code (or in some cases, gcc's low-level libraries' source code), and these sections are therefore directly affected by gcc's licenses and copyrights. There main license for gcc's source code is GPL, but there are explicitly stated exceptions to remove all restrictions and copyright assignments from the generated code, precisely so that you can do as you will with gcc-generated binaries.

If Xilinx' tools also have such verbatim copying through to the generated bitstreams, and they do not have such stated exceptions, then they are in a position (in my interpretation - IANAL) to claim joint copyright ownership of the bitstream.

Reply to
David Brown

David Brown schrieb:

In germany falsely claiming copyright ownership is a crime that can be punished by 5 years in prison, so Xilinx should make sure it only claims joint ownership if there are such parts in the bitstream.

Think about connecting input to output in FPGA editor with a wire.

Kolja Sulimma

Reply to
Kolja Sulimma

Hmm, and what about falsely accusing someone of a crime?

May very well instantiate a non-trivial "straight through" bit pattern, or more likely several necessary patterns - really you have to configure two fairly complex IOB's, and a bunch of routing resources. And I wouldn't be suprised if there's some pattern of bits you need to put in unused areas of the chip to optimally idle them.

Also, even if there are no verbatim bits, think about this. Say instead of running the software on your computer, you had to send your HDL to Xilinx and they sent you back a bitstream. Clearly they can claim some ownership rights to that, unless they signed away the rights under a work-for-hire type of contract. It would appear that their tools license is basically implying that this is what is going on, only as a convenience for fast turnaround they are letting you borrow the software to run on your computer. From the software industry perpsective, you can't purchase software the way you can purchase something like a drillpress - though it's unclear if all legal systems will uphold that view in all circumstances, especially things like software bundled with boxed retail eval kits.

Reply to
cs_posting

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.