vhdl construct problem

Hi, In my vhdl code, on construct is as follows case to_integer(addr) is when to_integer(fifo1_base + fifo_status )=> Where addr is signal of type unsigned(11 downto 0) and fifo1_base and fifo_status is constant of type unsigned(11 downto 0) I tried to compile my code in Cadence tool(ncvhdl) and modelsim. In both the cases it said for "when" statement expecting a locally static statement. But surprisingly the code got compiled successfully in quartus. Where is the problem while trying to compile with cadence and modelsim? I am using vhdl 93 flag.

Reply to
sudip saha
Loading thread data ...

Quartus is bending the rules here.

Create another constant:

and use that in your case statement.

(By the way, this is purely a VHDL question and would be better directed to comp.lang.vhdl)

-- Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how VHDL * Verilog * SystemC * Perl * Tcl/Tk * Verification * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK Tel: +44 (0)1425 471223 mail: snipped-for-privacy@doulos.com Fax: +44 (0)1425 471573 Web:

formatting link

The contents of this message may contain personal views which are not the views of Doulos Ltd., unless specifically stated.

Reply to
Jonathan Bromley

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.