sending data across a 32 bit bus

How can I send a 256 bit data across a 32 bit bus/ Could any one please help me with how I can do this using verilog. Thanks

Reply to
rasic
Loading thread data ...

Think block RAM, multiple cycles and a counter.

Cheers

PeteS

Reply to
PeteS

Serialize it to 32 bit packets...

rasic wrote:

Reply to
helmut.leonhardt

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.