LFSR in xilinx 13.2

Hi, I am using xilinx 13.2 for my design synthesis and i want to use xilinx IP for LFSR but i cannot find it in core gen. I am using Spartan3 xc3s4000 FPGA.

Does anyone know where i can find it?

regards

--------------------------------------- Posted through

formatting link

Reply to
salimbaba
Loading thread data ...

The actual LFSR description should take two or three lines, and it's not the kind of thing that needs superhuman effort to optimize, particularly if it's an internal XOR type.

An extern XOR type with a whole lot of taps might benefit from some pipelining, but even that shouldn't be too hard.

So maybe they didn't think they needed to bother.

--
www.wescottdesign.com
Reply to
Tim Wescott

Hi,

Here is the link to online LFSR code generator:

formatting link

Thanks, Evgeni

Reply to
OutputLogic

formatting link

Reply to
Morten Leikvoll

P

In all ISE releases you can find example designs. XAPP211: PN Generator, is one I authored years ago. There's a verilog and VHDL version. A PN Generator is basically an LFSR. There should be enough comments in the code to explain how to infer SRL16s (vs FFs). Here're some other docs in case you're interested...

Pseudo-random Noise Generators using SRLs (app note and reference design):

formatting link
xapp211.pdf)

HDL Coding for PN Generators:

formatting link
xcell/xl35/xl35_44.pdf)

Good luck, Mike

formatting link

Reply to
FPGA ACE, LLC

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.