LEDs, buttons and LCD

Hello folk!

Hello, I have just bought Spartan 3E-1600E Microblaze Development kit and I am complete beginner. Really want to learn FPGA programming but have no idea what this board is for. For example, the LEDs (all are green)

- what they really represent?... and LCD? Can I print something on it?

Reply to
Vagant
Loading thread data ...

I have a Spartan-3E starter kit ($150 - 3S500E chip). It came with test software already loaded. It lit up LEDs and displayed stuff on the LCD. Your kit should come with a quick start quide or something similar to help you get started.

-Dave Pollum

Reply to
Dave Pollum

Yeah, it does. There is a small brochure called 'Start Here' where it's suggested to plug power adapter in, turn power on and self-diagnostic starts. It should finish soon and show either 'PASS' or 'FAIL' on LCD. With my board it was not so simple really coz it lasted for days. LEDs were flashing, some 'count=number' was shown on LCD and it would lasted forever..until I have realised that wrong brochure perhaps was put into box. In fact, it never finish until I press North button...

Reply to
Vagant

The way this post is formed in could invite non-subject post thats rude so I'll try to answer it "correctly" with some facts.

Congratulations Vagant, you have just bough yourself one of the best Value-For-Money FPGA-Development boards that are out there today I think. You'll probably be good with it for many years to come if you are willing to learn. I also bought it when Digilent start selling it without the Microblaze Kit which made it a bargain. Of course just because it is called "Microblaze" there is no need to use it solely for that.

You can use this board almost for anything as you can see at the demos shipped with it, stand-alone web-server, complete Microblaze-based Linux operating system.

My suggestion would be that you start off by doing the classical Button/Led trick where you install ISE Webpack and by using Verilog or VHDL you setup each button to light a LED.. This is of course massive hardware overkill but at least you know your tools and that everythin is connected correctly. Don't start with LCD because this needs SPI- control and specific protocol which would be a litle messy to start with I think. Also skip the Rotation-device as this also can bring you some problems as a beginner..

I have ported the FPGA-64 (part of C-One project) for this Kit which implements a quite complete Commodore 64. just did it for fun and for experience.

Another suggestions is to use the Windows tools as the USB-connection in PC-Linux can bring you some intial problems you don't need on your first testrun.

Good luck with your kit!

Reply to
spartan3wiz

Hi, thanks a lot for reply. I also got idea that it's a great board, mainly from others. I have just started and have not find any detailed examples how to move forward learning this. I am surprised that you've got demos with this board coz I've got none. There were no tutorials included in box, just a CD with ISE WebPack 9.1 and DVD with ISE WebPack and EDK. There are many materials on Xilinx's Web Site but all these are mainly about advanced programming and there is almost nothing for beginners.

Reply to
Vagant

Hi,

I'm very new to VHDL/FPGA myself and also have a S3E (the smaller XC4S500) board. Bought from digilent, it came with no software or manuals (the manuals are all available from xilinx web site though, of course).

Not sure if you mean the reference designs at

formatting link

They're certainly quite detailed and complex - I really only looked at the Rotary Encoder example as this gave quite a simple VHDL design to experiment with, plus it showed how to read the Rotary thingamajig.

What I _did_ find really useful to look at (besides the web-based tutorials and suchlike that you can find with google), was the FPGA Arcade project

formatting link
Both PACMAN and Space Invaders have been ported to the S3E board, and of course full source is provided. I also looked at John Kent's excellent FPGA page at
formatting link
- In particular the System09 project interested me: although there's no version specifically for the S3E board, there is an S3 version which (although not close enough to use unmodified) is an interesting insight into VHDL for me.

I guess it all depends what exactly you want to do with the FPGA, but perhaps those sites might be useful?

Good Luck DT

Reply to
DialTone

If you have the Spartan-3E Starter Kit, and are interested in using Verilog as your hardware description language, there are a few tutorials and small projects you can try at:

formatting link

Eric

Reply to
Eric Crabill

at

formatting link

at

formatting link
In particular the

Thanks for update! The sites are just what I look for. :)

Reply to
Vagant

Thanks for this. I am not using Verilog really so perhaps cannot enjoy much from the site. I just wonder, whether you might consider to give VHDL expamples too, in parallel to Verilog code.

Reply to
Vagant

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.