Enumerated type simulation issue (ISE simulator, 9.1.03i)

Hi everybody,

I'm having trouble simulating a state machine with ISE simulator (ISE

9.1.03i on Linux). At this point I'm not sure if the issue is in the simulator itself or in the waveform viewer (isimwave).

The following signal type isn't displayed correctly in isimwave :

type cmd_state_t is ( STATE_IDLE, STATE_START, STATE_INDEX, STATE_ARGUMENT, STATE_CRC, STATE_STOP, STATE_WAIT, STATE_RINDEX, STATE_RARGUMENT, STATE_RCRC );

signal cmd_state : cmd_state_t;

The process transitions from STATE_RINDEX to STATE_RARGUMENT, and then to STATE_RCRC. The waveform viewer shows a transition from STATE_RINDEX to STATE_IDLE, and then to STATE_START. As STATE_RARGUMENT and STATE_RCRC are the 9th and 10th states, I suspect the simulator or the wave viewer (probably the later) to use the 3 least significant bits only.

When replacing the enumerated type with an integer, isimwave displays the correct values :

constant STATE_IDLE : integer := 0; constant STATE_START : integer := 1; constant STATE_INDEX : integer := 2; constant STATE_ARGUMENT : integer := 3; constant STATE_CRC : integer := 4; constant STATE_STOP : integer := 5; constant STATE_WAIT : integer := 6; constant STATE_RINDEX : integer := 7; constant STATE_RARGUMENT : integer := 8; constant STATE_RCRC : integer := 9;

signal cmd_state : integer;

Has anyone run into the same problem ? Is there any workaround other than switching to a non-enumerated type ? Any patch available ?

Best regards,

Laurent Pinchart

Reply to
Laurent Pinchart
Loading thread data ...

thesimulatoritself or in the waveform viewer (isimwave).

HI Laurent,

Please open a case with Xilinx Support on this issue. I think this might be fixed in 9.2i, that will be coming out soon, although we would need to have the testcase in house to confim.

Thanks Duth

Reply to
Duth

thesimulatoritself or in the waveform viewer (isimwave).

Hi Laurent,

This issue should be fixed in ISE 9.2i SP1. That will be released at the end of this month.

Thanks Duth

Reply to
Duth

Thanks for your help.

Best regards,

Laurent Pinchart

Reply to
Laurent Pinchart

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.