edif to vhd black box

Hi all,

My simple question, is there any tools to auto-generate a vhdl instantiate template from the netlist file?

I found an inefficient way by using the ECS :(

1) generate ECS symbol from edif, not good, take time to modify bus format on symbol pins 2) generate template from symbol

Many thanks,

Reply to
ccon67
Loading thread data ...

How about edif->ngd (using ngdbuild) -> vhd (using netgen)?

HTH, Jim

Reply to
Jim Wu

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.