Create and Import Peripheral in EDK

Hello everybody,

I am trying to interface my user logic ip_inv through the OPB in EDK using a register like this:

the c code in my test_application to access the ipcore is :

IP_INV_mWriteReg(0x00000010, 0, read_val); read_val2=IP_INV_mReadReg(0x00000010, 0);

My user logic is just a not operation. How do we make sure that the value is written from the IPIF register into the user logic and then back to the IPIF register ? I am expecting a inverted value in "read_value2" but I am getting the same value as "read_value".

Thanks, KOustav

Reply to
Koustav
Loading thread data ...

why don`t you implement a sum operator?. It is simplest and it provides you that information.

Reply to
Pablo

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.