System Simulation Error

Hi - I am a newbie ModelSim 5.6 SE user and am trying to troubleshoot this error - ______________________________________________ # -- Loading package standard # ** Error: (vcom-19) Failed to access library 'unisim' at "unisim". # No such file or directory. # ERROR: ../simulation/system_init.vhd(5): Library unisim not found. # ERROR: ../simulation/system_init.vhd(7): VHDL Compiler exiting # ERROR: C:/Modeltech_5.6/win32/vcom failed. # Error in macro ./system.do line 3 ___________________________________________

# My system.do file is : ________________________ do system_init.do

vsim -c system_conf ________________________

Any help/pointers are highly appreciated.

Thanks.

ModelSim 5.6 SE ISE 5.2i XPD 5.2i running EDK 3.2

Reply to
Yogi V.
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.