VHDL

Hi!

Ich hab leider nur sehr wenig Erfahrung mit VHDL, muss jetzt aber ins kalte Wasser springen.

Ich arbeite mit der Quartus II 6.0 Full Web Edition und versuche, auf dem DE2-Board von Altera (Terasic) ein Design aufzubauen.

Beim Kompilieren erhalte ich zwei Meldungen, die mir nicht vollkommen klar sind:

- "Output Pins are stuck at VCC or GND"

- "Following pins have nothing, GND, or VCC driving datain port"

Beide Meldungen scheinen mir etwas darüber auszusagen, an welche Signale bestimmte Ports meiner Entity geschaltet sind. Und ich frage mich, wie Quartus das wissen kann, also an welche Signale diese Ports geschaltet sind. Ich hab doch noch gar keine Zuordnung vorgenommen... Ausserdem kann eine Entity doch in verschiedenen Umgebungen instanziiert werden, wobei die Eingangssignale jedesmal unterschiedlich sein könnten.

Ich würde mich freuen, wenn mir da jemand Aufschluss verschaffen könnte.

Viele Grüße,

Andreas

Reply to
Andreas Messer
Loading thread data ...

Ich würde das so interpretieren, daß diese Ausgänge auf einem festen Logikpegel "festhängen", durch eine fehlerhafte Gleichung bspw.

Habe bislang nur Xilinx ISE verwendet, da sind die Fehlermeldungen irgendwie aussagekräftiger.

Gruß Henning

Reply to
Henning Paul

Hi,

Andreas Messer schrieb:

Welches Kompilieren? F=FCr Simulation, oder Synthese/Layout?

Ich habe mit dem Werkzeug keine Erfahrung, vermute aber das eigentlich Ports deiner Entity gemeint sind. Ausg=E4nge die immer einen festen Pegel treiben sind immer eine Warnung wert.

Ansonsten komm mal mit deiner Frage nach news:comp.arch.fpga.

bye Thomas

Reply to
Thomas Stanka

Andreas Messer schrieb:

Dein design setzt diese signale auf ein konstantes wert - typisch fur "ich werde die steuerung spater definieren - zur zeit schreibe ich data

Reply to
abert zielonka

Die betreffenden Ausgangssignale der Entity haben konstanten HIGH- oder LOW-Pegel. Das bedeutet, dass die Logik innerhalb der Entity so gestaltet ist, dass die betreffenden Signale sich nie ändern würden.

Die Eingangssignale an der Entity sind nicht beschaltet. Das kann unter anderem mittelbar zur oberen Fehlermeldung führen (da die Eingangssignale sich ohne Verbindung zu irgendwas ja nicht ändern können).

Grüße,

Günther

Reply to
Günther Dietrich

Hallo Andreas,

wenn Du die Zuordnung nicht definiert hast, dann nimmt Dir Quartus diese Aufgabe während des place & route ab. Die Zuordnung wird aber, wenn sich Dein Design ändert, u.U. wieder verworfen, so dass Du diese Zuordnungen unbedingt vorgeben solltest.

Die Pinzuordnung kannst Du im Fitter Report ansehen.

Gruß Markus

Reply to
Markus Knauß

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.