implement a can bus protocol on a fpga

Hello,

I would like to implement a can bus protocol on a fpga in a way to link

a PC and a can bus via a fpga PCI / I/O board. Does anyone has advice or sources in vhdl to do that (I can't download the vhdl sources of the

opencores site, the link may be dead). Thank you snipped-for-privacy@gmail.com

(my english is poor)

Reply to
mungam
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.