Hi folks,
I am having a problem that is beyound my present VHDL capabilities.
I am trying to model a bus in a testbench using the following (incomplete) record:
type rec is record rd, wr, waitreq : std_logic; writedata : std_logic_vector(31 downto 0); end record;
(I left a bunch out for brevity).
- rd, wr, and writedata are driven by the master of the bus.
- waitreq is driven by the slave, indicating when it can't immediately satisfy a master request.
I then have some useful functions having prototypes:
procedure InitBus( signal busRec: inout rec ); procedure WriteValue( signal busRec: inout rec; address: integer; value: integer );
And in my code I hook things up:
architecture ... signal busRec : rec; ... begin DUT_inst : DUT port map ( wr=>wr, rd=>rd, waitreq=>waitreq, readdata=>readdata, ... );
wr