Copying/Reverse Engineering PAL

See

formatting link

SCSI is dead. Do an IDE interface instead.

Floppies are disappearing from modern machines. Do an SD card interface instead.

Nah! :-) I find VHDL easier to read than CUPL. See

formatting link
for what can be done.

Reply to
Kryten
Loading thread data ...

in

Digikey sells the things for about $12 in quantities of 1. Are you in the US?

job.

I'm not crazy! ;) The microscope in the metallurgy lab has a high resolution video camera and a photograph lens ring attachment on it. ;) The pictures will be taken at full power magnification.

have

may be

I'm sure I could, but for some reason I have a drive to make it as NOS as possible. Never did understand those Model-T guys until now. ;) Just got the 512k board, getting ready to cut the PALs off. :)

Reply to
logjam

But in order to boot the mac a 5380 would have to be emulated, as well as the IWM, at least for the status register on the IWM, right? Or am Imissing something? I've got the data sheets and info on the IWM, so it can be done...

I found another 512k board that has an MFM hard drive interface on it (after market), supposedly it boots. Maybe that will give me some insight.

Reply to
logjam

but

chips.

in

I just noticed the =A3. ;) Anyway, if you only want one I might be able to help you out... I ordered 3 of them.

Reply to
logjam

I thought it had the OS in ROM.

Perhaps you could make a gadget that looks like a 5380

Ooh look what I found in my chip collection. a DP5380 from national semiconductor. Must be pretty early one too, it has "ENGR SAMPLE" printed on it. Date code 8735!

I'd certainly swap it for a 68HC000 PLCC68 16 MHz for instance :-)

MFM is just as dead as SCSI, or even more so.

Reply to
Kryten

My plan was to see how they interfaced the MFM drive to the mac. The only thing they did right with MFM drives was make them loud. I love those noises.

I will have a bottle of acid in my hands in an hour. :D

Reply to
logjam

Well it is your time...

Noise is wasted power.

Ouch.

I've been playing with Xilinx ISE and manage to compile VHDL versions of the interrupt controller and it seems okay.

I didn't know whether to model things on the real Mac PALs or a single all-in-one CPLD. Each has its own pros and cons. The former allows you to 'fill in the blanks' more. The latter avoids confusing interactions.

Seeing as you are trying to create replacements for the PALS, it would be more helpful to you to start with the former. I can send you some equations/diagrams if you tell me your email address.

Cheers, K.

BTW is the CPU running at 16 or 8 MHz?

Reply to
Kryten

The CPU runs at 8MHz.

My e-mail address is snipped-for-privacy@sto4ck5ly.com

There are no numbers in my e-mail address. Take those out.

I did not do very well with the acid tonight. It was fun while it lasted. The acid was way too diluted to work at a decent rate, but strong enough to catch fire (nevermind). So finally I took one of them over to a beuhler metallurgy sanding disc and gave it a polish down to the silicon. Most of the data was "wiped" off, but I was able to see a dozen microns by 3/16 or so. Doesn't seem as fun as it once did...well, at least I had fun! :)

I will start prooving the logic once I get that analyzer. I've needed an excuse to get one for a long time. I would have killed (well, not really) to get one back when I interfaced a hard drive to the AVR.

What would you suggest as a beginning kit on CPLDs? Something from Altera or Atmel?

I'd also like to get a decent EEPROM/GAL/PAL device too. Any suggestions on that? I have a buddy in the digital x-ray world who uses an altera between analog to digital convers and a microcontroller, so if I went with an altera enviornment I might be able to get free phone support. ;)

I've got the 6 PALs desoldered, and they're not going to get acid treated, so I'll put them on the breadboard and proove the logic with them.

Did you ever see the equations posted at AVR-Freaks?

I have the Eagle schematic program and CircuitMaker 2000 at work. But I don't like Circuit maker at all. I can't find any way to import schematics into Eagle either. I'm working on a version of the macintosh schematic in Eagle, and will probably do most of my stuff in Eagle just because its free and available for many platforms.

Is a "5380 SCSI chip" emulator too much for an inexpensive CPLD? The goal being an IDE controller that looks like a 5380. Last week on tuesday I didn't even know what PAL stood for. Steep learning curve, but with time I'll understand all this. I can bit-bang it with a microcontroller, but this might be an opportunity to learn about those CPLDs

Reply to
logjam

It looks like google took my e-mail address out. :( send stuff to gcc-at-stockly-dot-com

Reply to
logjam

Atmel still support SPLD and 5V ATF16V8C / ATF22V10CQ devices, Altera and Xilinx do not.

Atmel's tools also allow test vector creation, so you can verify BOTH the removed PLDs, and your new candidate ones, BEFORE you try plugging into the museum motherboard, which you probably do not want to fry....

So I'd start with Atmel, and keep pin-compatible PLDs, to first prove you have the logic right. The modern ones should be lower power, and faster than the old ones.

-jg

Reply to
Jim Granville

Okay. The master clock source (16 MHz) seems unclear from the schematic. I can't see an oscillator module or even a quartz crystal. Easily produced though.

I think you should grind down most of the way, then just etch the final thin bit. Otherwise you will be there all month.

I have the Xilinx ISE for my FPGA work, and it also compiles for their CPLD chips (the 9500 series). I just bought a few in PLCC44 packages, you can put sockets on whatever 0.1" protoboard you have. You'll need a programming dongle for your printer port. I use the one that came with my BurchEd FPGA dev kit. I'm sure they'll sell you the dongle alone if you want.

A programmer? I managed to get away without one. I got my project to run BASIC from ROM inside the FPGA, then run a bit of code to program the Flash.

The 16L8 should be a doddle, the registered ones less so but it helps to have a clue what is going on.

What where those for?

Fair enough. Can Eagle print to virtual (pdf) printers? Most Eagle schematics I see are image files.

A mate of mine implemented drives for it and he said it was a really badly implemented chip. If it wasn't happy with the sequence of programming events it would lock up so he had to modify the design to have an address that would trigger a hardware reset, the only thing that would unlock it.

It is a 40 pin chip but it didn't seem to have much in it. CPU interface pins, buffered SCSI pins, and a few state machines (that locked up when confused).

It was an industry standard SCSI chip though.

Sounds a very bad idea. You are going to need a micro to read data in one low level format, convert it into a completely different low level format, then the 68K has to turn that back into data.

It is far more sensible to get the 68K to use IDE directly. And before you think "Aw no, that sounds like loads of software work", bear in mind that it will be so writing software for a micro to do it for you. Though I note you have done AVR to IDE before. The 68K bus width matches IDE.

Reply to
Kryten

I had ground the ICs down until I hit the bond wire loops. That's what makes me even more disappointed. :(

The reason I keep resorting to emulating the IWM and 8390 is because I'd like the thing to be able to boot MacOS when I'm done. Unless I modified the ROM, I don't see how I could get it to boot. That's why I thought the MFM interface would be helpful. It might show a second entry point for booting.

Jim Granville: Which Atmel development kit are you talking about? Do you have a specific one in mind?

Reply to
logjam

I reckon you need to start with a working Mac board and replace one PAL at a time.

Reply to
Kryten

because

PAL at a

I too would suggest doing this so you don't have to worry about the IWM until you are done with the rest. If everything else is known to work except for a single part you have replaced, debugging will be far easier and faster.

Today I looked through the back ups of my old floppy disks (copied to the HD of a Classic II about a year ago. Those that could still be read, that is, which wasn't the case for over half of them) to see if I happened to have a copy of the simulations I had done. Unfortunately my only LogicWorks files seem to be a part of the DMA circuit for the original PC and a test of a Manchester encoder/decoder design.

A file called "PALs" from the MacWrite floppy seemed promising, but it turned out to be original version that I had scanned in on Monday (before being scribbled on, of course). The only interesting thing about it is that it includes an additional PAL ("PAL5 - Analog Signal Generator") that is missing from the printed version. There is an odd comment that this is a 16R8 and the original was a 16R6. The equations look like wild guesses, more to illustrate the general shape that this PAL should have and we decided that it wouldn't have to be changed and so I wouldn't worry about it anymore.

Too bad I don't have any convenient way of transferring this to my more modern machines so I could post this file as well - though it is rather short I don't have the time to retype it right now.

Reply to
Jecel

My plan was to replace one IC at a time, I just think ahead of mysefl. Making a plan. ;)

I've got a mac plus on the bench booting off of a ZIP drive. It was dead for a while, it had one 256k SIMM with the 1MB. The computer will also not boot without a disk drive attached. There might be a sense pin to short, But I'm not going to worry about that.

I've captured a few logic patterns so far. On the opening screen with the happy mac I was able to capture about one full frame worth of video. That's around 430,000 samples at 10ns.

I was just testing the equipment. Once I get decent info from the PALs I'll post it.

Is there a chance that the floppy included the full report? :) I will scan the 21 pages from the BYTE magazine when I get them in the mail. Grant

Reply to
logjam

I setup the forum for the project.

Go to:

formatting link

I've only added datasets for the TSM and a crazy picture, but other than that there is not much. Please stick around if you're interested! I could use the company. ;)

Reply to
logjam

If we're still talking about the original Macintosh (or Plus, SE), the main oscillator is 15.6672 MHz.

Reply to
Eric Smith

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.