PROBLEMS WITH COOLRUNNER XPLA3

hi im using digilab XCR development board which has Xilinx XCR3064 CPLD. I/O pins in this CPLD are said to be tristate. but when im trying to use them as tristate its not working as one? i mean i want one of the I/O pin to go high impedance but its not? can any one tell me how can i make I/O pin in CPLD high impedance?

Reply to
sachink321
Loading thread data ...

Post the code that drives the outputs... And what does the synthesiser say? B

Reply to
Benjamin Todd

Using VHDL:

io_pin

Reply to
Dave Pollum

thers no problem with synthesizer nor simulation results infact simulation results show the output in high impedance but when i check(using logic probe) that on hardware its not in high impedance

code is simple

2 inputs ( switches) 1 output (I/O pin)

dout Post the code that drives the outputs...

Reply to
sachink321

Ummmm, how is the logic probe supposed to know that the pin is tristated?

-a

Reply to
Andy Peters

schrieb im Newsbeitrag news: snipped-for-privacy@z34g2000cwc.googlegroups.com...

Fitter standard I/O termination is bus keeper. You can select pullup or float.

If you float the pins (in the implementaion-fitter properties), you must insure, that no input pin is unconnected and floating.

MIKE

--
www.oho-elektronik.de
OHO-Elektronik
Michael Randelzhofer
FPGA und CPLD Mini Module
Klein aber oho !
Reply to
M.Randelzhofer

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.