modelsim simulation problem

I am trying to load a EDK design in modelsim for simulation.I can successfully compile the files but when I try to simulate the project, I get the following error.

# Loading /home/simulation_library/simtemp/unisim.ppc405(ppc405_v) # ** Warning: (vsim-3479) Time unit 'ps' is less than the simulator resolution (1ns). # Time: 0 ns Iteration: 0 Region: /system/ppc405_0/ppc405_0_ppc405_i # Loading /home/simulation_library/simtemp/unisim.ppc405_swift_bus(ppc405_swift_bus_v) # Loading /home/simulation_library/simtemp/unisim.ppc405_swift(smartmodel) # Loading /home/downloads/modelsim5.8d/modeltech/linux/libsm.sl # ** Error: Failed to find LMC SmartModel libswift entry in project file. # ** Fatal: Foreign module requested halt. # Time: 0 ns Iteration: 0 Instance: /system/ppc405_0/ppc405_0_ppc405_i/ippc405_swift/ppc405_swift_inst File: /home/Xilinx/smartmodel/lin/wrappers/mtivhdl/smartmodel_wrappers.vhd # FATAL ERROR while loading design # Error loading design

I have followed the Xilinx website answer links and followed the steps exactly.

formatting link
I can run the command vsim unisim.ppc405 successfully. But when I try to simulate any other project from EDK I get the above mentioned error.

Reply to
Nitesh
Loading thread data ...

Your simulator resolution is NOT set to 1ps as the instructions say in step 1, and the libswift.dll-entry is obviuosly missing (step 4). So either you did not follow the steps exactly, or something else is wrong.

What file did you edit? Remember that changes in the modelsim.ini in the modelsim-directory don't affect projects created earlier. In the *.MPF (I think) of every project, the entire set of settings valid upon creation of the project is saved. If you change your modelsim.ini, the different settings do not apply if you load an older project. So what you really have to do is edit the MPF of your project.

cu, Sean

Reply to
Sean Durkin

Thanks sean. I had to edit the mpf file since the project file was created before the changes to the modelsim.ini were made.It worked. Nitesh

Reply to
Nitesh

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.