Keystroke saving w/ IEEE.Numeric_Std

When you want a one of eight decoder, are you tired of typing in:

with Phase_Ctr select Phase

Reply to
JustJohn
Loading thread data ...

Thats pretty cool as long as synthesis tool correctly translates it.!!!! I have been using this function for indexing the std_logic arrays and as memory pointers.....

Reply to
Ashu

Better to have 'Phase_Ctr' defined as a natural instead of a std_logic_vector since that is how it is being used i.e. signal Phase_Ctr: natural range 0 to 7;

Then you have the even less cluttered

Phase When you want a one of eight decoder, are you tired of typing in:

Reply to
KJ
+1 for natural type on phase_ctr!

Inside a process (sequential statements):

phase '0'); -- sequential statements phase(phase_ctr)

Reply to
Andy

Doh! This is great. (Sometimes I scare myself by how I miss the simplest things). Thanks Andy.

Any more examples of keystroke/eye/paper savers out there?

Reply to
JustJohn

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.