creating a seed on a FPGA.

Hi,

I am aware that the best way to create a seed (for random numbers) is external hardware, but does anybody know any cheap-and-easy tricks to generate a random-ish number on an FPGA.

Kristoff

Reply to
kristoff
Loading thread data ...

Read the LSB of the voltage monitoring in xilinx sysmon to get each bit of your number.

Colin

Reply to
colin

Or for sufficiently random request timing, keep a clock running and use the clock value for the seed.

Or combine the two.

I seem to remember doing a web search on this a while back -- there are a lot of papers, of varying degrees of technical soundness.

--
www.wescottdesign.com
Reply to
Tim Wescott

Xilinx has many app notes on this - it's a common requests. Here's one I've read in the past, but there's others:

formatting link

Regards,

Mark

Reply to
Mark Curry

I thought this issue had been solved?

formatting link

--

Rick C
Reply to
rickman

I was going to suggest asynchronous ring oscillator, but yours is downright elegant.

--
Rob Gaddi, Highland Technology -- www.highlandtechnology.com 
Email address domain is currently out of order.  See above to fix.
Reply to
Rob Gaddi

I built a very wide version of this several months ago to do testing in the lab but it hasn't been tested yet. I'll have to report back after my cowo rkers try it out. You do have to instantiate LUT primitives to get it to s ynthesize. I don't know why the app note didn't address this nor supply an y example HDL.

Reply to
Kevin Neilson

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.