Xilinx - symulator iSim

Witam, Czy ktoś z Was się orientuje jaki jest format pliku zapisu wyników symulacji z iSim'a? Problem w tym, że symulator nie ma opcji graficznego obrazowania danych z szyny. Np. mam 8-bitowy licznik binarny, który kręci się w kółko i chciałbym zobaczyć tą piłę na ekranie. Jakbym znał format zapisu, to sam sobie soft do tego wyrzeźbię.

Reply to
stchebel
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.