PWM Signal IC gesucht

Hallo Kollegen

Folgende Aufgabenstellung: Ich will über einen 8-Bit Datenport eines µC mehrere PWM Signale erzeugen (das kann der µC selber nicht, weil einerseits zuwenig interne PWM Timer vorhanden und softwaremäßig PWM zu erzeugen ist er zu langsam) Also brauche ich einen IC, der einen 8bit (oder mehr) Zähler drin hat und einen Komparator, der mit meinem Vorgabewert vergleicht. Wenn Zählerstand größer als Vorgabewert -> Ausgang HIGH, sonst LOW. Sowas hat jeder Mikrocontroller bei seinen Timer/Zählern eingebaut...nur meistens zuwenig Stück!. Ich würde mich auch bis 4-bit herunterhandeln lassen.....

Kennt jemand so ein IC?

Danke Eunet

Reply to
Eunet Uhser
Loading thread data ...

Zweifelhafter Realname.

Die Frage wurde hier schonmal mausetot diskutiert, eventuell groups google bemühen. Varianten waren damals wohl:

a) Variante des Controllers nehmen die mehr PWM hat. b) sonderbares IC, schlimmstenfalls von Maxim c) das IC als CPLD nachbilden d) das IC auf Controller in Software nachbilden.

Mir paßt d) oft am besten, für Seriengeräte aber eventuell zu teuer. Und in der Geschwindigkeit begrenzt, aber für Motoren oft ausreichend. Man nimmt den Standardcontroller den man auf Lager hat und Übung in der Programmierung und Hoffnung auf langfristige Verfügbarkeit. Und hängt ihn z.B. über SPI an den Hauptcontroller.

MfG JRD

Reply to
Rafael Deliano
[PWM Implementierung]

Falls du Platz fuer 3 ICs und Huehnerfutter hast, dann erfuellt die Kombination von einem 8 bit Counter (74xx590) und zwei kaskadierten Magnitude Comparatoren (74xx85) deinen Wunsch.

Gruss Klaus

Reply to
Klaus Bahner

"Eunet Uhser" schrieb im Newsbeitrag news:f1ru51$67f$ snipped-for-privacy@newsreader2.utanet.at...

74HC7731 (oder HEF4731 + 74257/40257) ergibt 4 Kanaele a 6 bit Es wird mit langsamen Takt das PWM-Muster reingeschoben, dann auf recirculate gestellt und mit schnellem Takt wiederholt.

Der Takt muss vom uC erzeugt werden koennen, das Steuersignal recirculate/load auch, aber passend verschaltet lassen sich an einem 8 bit Port von dem ein Bit den Takt liefert

8 PWM-Kanaele mit 2 ICs realisieren.

Keine Ahnung, ob der 7731 zukunftssicher ist oder lieber der

4731 mit externem MUX genommen werden solle wegen laengerer Lieferbarkeit.
--
Manfred Winterhoff, reply-to invalid, use mawin at gmx dot net
homepage: http://www.geocities.com/mwinterhoff/
de.sci.electronics FAQ: http://dse-faq.elektronik-kompendium.de/
Read 'Art of Electronics' Horowitz/Hill before you ask.
Lese 'Hohe Schule der Elektronik 1+2' bevor du fragst.
Reply to
MaWin

"Eunet Uhser" schrieb:

einen Komparator, der mit meinem Vorgabewert

Ein ähnliches Problem hatte ich erst kürzlich auf dem Tisch und mit einem PIC erschlagen. Wie schnell soll´s denn sein?

Gruß

Reply to
Herrmann

Eunet Uhser schrieb:

Kann er DMA?

Wenn "so ein IC" auch ein Controller sein kann:

formatting link

Der dort verwendete ist aber wohl overkill, es sollte auch mit kleineren aus der Reihe gehen, DMA Kanäle haben auch viele kleinere. Wenn Stückzahlen dahinterstehen würde ich mich an einen Distri wenden um herauszufinden welcher kleinere das bei Deinen Anforderungen noch packt. Das selbst rauszubekommen ist bei der Vielfalt an Typen nicht ganz trivial wenn man mit der Familie noch nichts zu tun hatte.

Jörg.

Reply to
Jörg Schneide

Hallo!

"Eunet Uhser" wrote

Naja halt noch einen µC nehmen... Von Atmel gibts den AT90PWM3 mit 10 PWM Ausgängen. Oder du nimmst einen I²C-Chip, dann kannst du fast beliebig viele PWM Ausgänge realisieren. Philips PCA9633 4 PWM Ausgänge je 8-bit.

alsdenn, Jens

Reply to
Jens Frohberg

Hallo Manfred,

Digikey hat vom 7731 recht ordentlich auf Lager, sogar in bleifrei, was normalerweise kein schlechtes Zeichen ist. Ist aber mit um die $2.00 etwas teuer. Den 4731 haben sie nicht.

--
Gruesse, Joerg

http://www.analogconsultants.com
Reply to
Joerg

"Joerg" schrieb im Newsbeitrag news:0tp0i.1460$ snipped-for-privacy@newssvr17.news.prodigy.net...

Immer noch billiger als ein CPLD, zumal mir inzwischen einfaellt, wie man 2 vorhandene PWM-Ausgaenge (die schnell genug fuer Echtzeit ist), damit ver-4-fachen kann, um 8 echtzeitfaehige PWM-Ausgaenge zu bekommen, ohne zusaetzliche Bauteile.

--
Manfred Winterhoff, reply-to invalid, use mawin at gmx dot net
homepage: http://www.geocities.com/mwinterhoff/
de.sci.electronics FAQ: http://dse-faq.elektronik-kompendium.de/
Read 'Art of Electronics' Horowitz/Hill before you ask.
Lese 'Hohe Schule der Elektronik 1+2' bevor du fragst.
Reply to
MaWin

Hallo Manfred,

Billiger als programmierbare Logik sicher. Wenn es nicht gar so praezise werden muss, wuerde ich die Aufgabe wahrscheinlich mit Rampen, 8-fach DAC und Komparatoren erschlagen. Aber nur, wenn unbedingt der letzte Cent herausgeknirzt werden muss.

--
Gruesse, Joerg

http://www.analogconsultants.com
Reply to
Joerg

Hat der µC derweil sonst nichts zu tun? Dann leg Dir ein Array der Abfolge der Signalausgänge im RAM an. Mit jedem Takt schreibst du das nächste Byte auf den Port. Weitere Voraussetzungen: das KGV der PWM-Perioden ist kleiner als die Anzahl der verfügbaren Bytes. Änderungen am Dutycycle dürfen lange dauern.

--
Gruß, Raimund
Mein Pfotoalbum 
Mail ohne Anhang an  wird gelesen. Im Impressum der Homepage
findet sich immer eine länger gültige Adresse.
Reply to
Raimund Nisius

Hallo Klaus

An sowas hab ich auch schon gedacht, hätte aber gehofft, sowas gibt's in einem Stück....vor allem wenn man eben mehr als einen PWM Ausgang braucht...

Eunet

Reply to
Eunet Uhser

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.