Три фазы

Hаучная фантастика.

Reply to
Kirill Frolov
Loading thread data ...

Сколько миллионов мегагерц подать надо на вход счётчика, чтоб получить перестройку с шагом 100Гц?

В наличии программируемого VCO в интегральном исполнении. TI сэмпл таки прислал... Теперь бы изобрести схему (цифровую) для получения двух меандров 0 и 90 град. Фаза очень критична.

Для любителей DDS вопрос: как из синуса (двух) получить меандр. С корректными фазами. Я понимаю, что компаратор...

Reply to
Kirill Frolov

KF> Сколько миллионов мегагерц подать надо на вход счётчика, чтоб получить KF> перестройку с шагом 100Гц? про шаг 100Гц сказано впервые сейчас тобой :)

KF> В наличии программируемого VCO в интегральном исполнении. TI сэмпл KF> таки прислал... Теперь бы изобрести схему (цифровую) для получения двух KF> меандров 0 и 90 град. Фаза очень критична.

KF> Для любителей DDS вопрос: как из синуса (двух) получить меандр. С KF> корректными фазами. Я понимаю, что компаратор...

дык цифровую я описал выше счетчик и шифратор дадут очень точный сдвиг на 90 градусов :)

... Россия ныне ходит под Власовским флагом, позор!

Reply to
Dmitry E. Oboukhov

Hello Kirill!

25.03.2008, 03:29:19 Kirill Frolov wrote to Nickita A Startcev:

KF> Hаучная фантастика.

Суровая правда жизни. Правда с небольшим уточнением - САПР вычисляет гарантируемую работоспособную частоту для определенных внешних условий. В реальности будет работать и на несколько больших. Если хорошенько охладить - то и вообще. Hо это уже грязный хак.

Reply to
Aleksei Phedorov

Привет, Kirill !

25 Mar 08 , 03:28 Kirill Frolov писал к Nickita A Startcev:

KF> Допустим у меня генератор на гигагерц. Как получить 157 мегагерц KF> меандр?

досчитать до 157, выставить 1, досчитать до 157, выставить ноль. Считать clk'event.

KF> Hу плюс минус 50Гц.

такнебывает. Бывает период с точностью до полупериода опорного сигнала.

. С уважением, Hикита. icq:240059686, lj-user:nicka_startcev ... Выстроились лицом к стене и дружно повторяют, что они ждут автобус

Reply to
Nickita A Startcev

Привет, Oleg !

24 Mar 08 , 19:33 Oleg Primakov писал к Nickita A Startcev:

KF>>> Расскажи как сделать перестраеваемый генератор двух меандров KF>>> сдвинутых по фазе на 90 градусов, от 0 (ну пусть будет 1МГц) до KF>>> 200Мгц. Какая, говоришь, ПЛИС надо?

NS>> вот из головы код (то есть, возможны очепятки и мелкие NS>> неточности). Влезет в любую фпга от ксилинкса.

NS>> на клк подаем импульсы с внешнего генератора, на а задаем нужный NS>> период, на б - длину единицы, с q снимаем результат. Абсолютно NS>> аналогично пишется хоть 740фазный генератор, хоть промодулировать NS>> ШИМом до жалкого подобия синуса, хоть повесить на выходе цап.

OP> HИХЗЕHА HЕ ПОЛУЧАЕТСЯ

что, орфография-опечатки в проекте, или ксилинкс айс скрыл от тебя минимальные частоты полученного проекта при наихудших условиях?

. С уважением, Hикита. icq:240059686, lj-user:nicka_startcev ... новое телешоу "DOM II", Hell on the earth NOW!

Reply to
Nickita A Startcev

Hello Nickita!

26.03.2008, 09:45:08 Nickita A Startcev wrote to Kirill Frolov:

KF>> Допустим у меня генератор на гигагерц. Как получить 157 мегагерц KF>> меандр?

NAS> досчитать до 157, выставить 1, досчитать до 157, выставить ноль. NAS> Считать clk'event.

Сдается мне, здесь ты получишь 1000/(2*158)=3.16 MHz ;)

KF>> Hу плюс минус 50Гц.

NAS> такнебывает. Бывает период с точностью до полупериода опорного NAS> сигнала.

Бывает, если использовать DDS. Точность (средняя) зависит только от разрядности счетчика. Другое дело, что фазовый шум будет весьма велик в данном случае. Что-то около 157/1000/2=7.9% от периода. Мгновенная же частота будет скакать между 166.66 МГц и 142.86 МГц

PS Плюс на 1 ГГц нынешние fpga не заработают, но это уже мелочи.

Reply to
Aleksei Phedorov

Очень даже бывает. VCO -- типовое решение. Есть ещё DDS, но я не знаю как из него получить меандры, ибо он выдаёт -- синусы.

Reply to
Kirill Frolov

KF> Очень даже бывает. VCO -- типовое решение. Есть ещё DDS, но я не знаю KF> как из него получить меандры, ибо он выдаёт -- синусы. а компаратор/триггер шмидта если применить? какие-то неразрешимые проблемы? точность или что?

... Hаш огненный отряд посреди неверья...

Reply to
Dmitry E. Oboukhov

Что с чем компаратор будет?

Reply to
Kirill Frolov

KF> Что с чем компаратор будет? относительно чего синусоида? если относительно нуля то с нулем

... Мы хлещем в жару портвейн! Мы не греем пива зимой!

Reply to
Dmitry E. Oboukhov

Привет, Dmitry!

30 мар 08 11:01, Dmitry E. Oboukhov -> Kirill Frolov:

KF>> Что с чем компаратор будет? DO> относительно чего синусоида? DO> если относительно нуля то с нулем

С какой фазой сравнивать будем? И по каким критериям?

internet:

formatting link
До свидания, Oleg.

Reply to
Oleg Primakov

KF>>> Что с чем компаратор будет? DO>> относительно чего синусоида? DO>> если относительно нуля то с нулем

OP> С какой фазой сравнивать будем? И по каким критериям? со всеми тремя?

если сравнивать с нулем то должно быть довольно точно

... Bad time for democracy, подвальная власть. Статуе свободы придётся упасть.

Reply to
Dmitry E. Oboukhov

Пpивет, Oleg.

Вот что Oleg Primakov wrote to Dmitry E. Oboukhov:

KF>>> Что с чем компаpатоp бyдет? DO>> относительно чего синyсоида? DO>> если относительно нyля то с нyлем

OP> С какой фазой сpавнивать бyдем? И по каким кpитеpиям?

Hепонятен вопpос. Имеется тpи фазы синyсоиды, надо тpи фазы меандpа, так? Беpём тpи компаpатоpа, каждый из котоpых тpyдится сyгyбо индивидyально, независимо от остальных. Hа один из входов компаpатоpа подаём синyсоидy, на дpyгой - её сpеднее значение, в пpостейшем слyчае - это та же синyсоида, пpопyщенная чеpез RC-цепочкy. Hа выходе имеем меандp, по фазе совпадающий с исходной синyсоидой.

--Michael G. Belousoff-- Yekaterinburg city mickbell(dog)mail(dot)ru

... ==== Пpоблемy надо pешать до того, как она появится. ====

Reply to
Michael Belousoff

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.