Xilinx FPGA, DLL i Altium Designer

Loading thread data ...

a nie trzeba skompliowac bibliotek najpierw? jest do tego specjalne narzedzie tekstowe mialem podobny problem w rpzyapdku ISE.. google duzo wyjasnilo..

Reply to
Greg
Reply to
invalid unparseable

Pawel Cern wrote: (...)

Nie sprawdzałem FPGA Xilinx oraz Altium. Ale: Wygląda mi to na źle ustawiony pad. Xilinx ma dedykowane piny do których można podłączyć bufor GCLK. (Rozprowadzenie zegara po strukturze) (LOC we właściwościch pada lub plik UCF (constrains))

Pozdrawiam M.

Reply to
Oshin

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.