Webpack larger than CDs

I don't know exactly when this happened, but webpack will no longer fit on a single CD. I seem to recall when they split the download into multiple files so that they could be loaded in pieces over a phone line. Now the size means you have to have a DVD burner to carry it from one machine to the other.

Any chance webpack can be provided in two hunks each of which will fit on a CD?

Reply to
rickman
Loading thread data ...

Try "bzip2 -9z", it will compress most things to the limit.

Reply to
pbdelete

On a sunny day (05 Jun 2006 18:46:40 GMT) it happened snipped-for-privacy@spamnuke.ludd.luthdelete.se.invalid wrote in :

Or you could just binary split the file [use 2 CDs], dunno about MS windows, but in Linux in the CD age I used dd, and cat on the other side.

There is a similar command even for MSDOS.

In Linux, create 2 images: dd if=myfile bs=1000000 count=700 of=part1 dd if=myfile bs=1000000 skip=700 of=part2

Then burn part1 and part2 as image: Insert empty CD1 growisofs -Z /dev/cd=part1 Insert empty CD2 growisofs -Z /dev/cd=part2

To restore: Insert CD1 cat /dev/cd > myfile Insert CD2 cat /dev/cd >> myfile

Done whole movies that way..

Reply to
Jan Panteltje

rickman schrieb:

Or a USB stick or network connection.

Kolja Sulimma

Reply to
Kolja Sulimma

I wrote a binary file splitor/combinor in Perl a while back. It's available at

formatting link

You can split the file to any size you want.

HTH, Jim

Reply to
Jim Wu

There's even simpler command for that :p

split -b 640m myfile

Should split myfile in 640 Mbyte chunks.

Reply to
pbdelete

Rickman,

You can also use the "Web Installer" and then select the check boxes to "Install Later" and "Retain Downloaded Files". This will give you the individual install options and you can eliminate some of the tools you don't want, or break it up over multiple CDs.

Ryan Laity Xil>>> Try "bzip2 -9z", it will compress most things to the limit.

Reply to
Ryan Laity

Thanks for all the potential solutions. But they pretty much all won't work for me. I can try the Xilinx web install with the retain files option. But if it has to actually "install" the files, it won't work for me. I am at a facility where we can't install any software ourselves. We have to have IT do it for us. That eliminates most of the other solutions as well.

I guess I can just let IT figure out how to get the software onto a machine that is not on the network. I have a 1 GB flash drive, but I don't care to copy all my files off of it and I probably will be violating some rule using my own storage rather than theirs.

The solution I like best is to get the latest copy of WinZip which will break up archives to 650 or 700 MB hunks. But this is yet another piece of software I have to get IT to buy and install on *both* machines.

The office has a prohibition to taking stuff home or I would use my Flash drive to copy the file to home where I can burn a DVD. I haven't found a DVD burner at work yet.

So to make my life, and possibly others who work in the defence community, easier, Xilinx can you provide webpack in two files which will each fit on a CD?

I know that I can order a DVD for $6 and in this case I will have to do that. But the ease of downloading a file in a few minutes is such a better solution than to have to have a purchase requisition generated, etc... for just $6! Besides, the secretary who can use the credit card is out of the office all this week!!!

Reply to
rickman

Reply to
Peter Alfke

On Mon, 05 Jun 2006 19:05:21 -0700, Peter Alfke top-posted:

[Peter, please learn to bottom-post, it's much easier >> I know that I can order a DVD for $6 and in this case I will have to do

I'd be willing to bet money that if rickman were to buy something like that out of his own pocket in the high-security military milieu he seems to be in, he'll get in a lot more trouble than if he goes through channels

- and since this is taxpayer-funded, they don't care how long it takes, as long as you spend the budget before audit time. ;-)

Good Luck! Rich

Reply to
Rich Grise

Sure, short term I don't mind paying $6. My employer has bought me lunch more than once. But I am asking Xilinx for the long term fix. Now that webpack has broken the single CD barrier, how about making this process easier for us by splitting it into CD sized chunks? I will pay the $6 for 8.1, but I don't want to have to repeat this for

8.2 and 8.3 and all the various service packs, etc.

I have identified a way that Xilinx can make life easier for some of its customers. I would like to think that this suggestion could be given reasonable consideration.

BTW, if you had any idea how much waste there really is in government contracting, you wouldn't worry about even a lousy $50. We just had some 4 or more people (plus a Xliinx FAE) focused on why we could not configure the Spartan 3 on our board. Because the software, gateware and hardware were all done by different people (or groups even) no one person (or even team) had enough knowledge to understand what was wrong. Between the software and FPGA groups stealing my boards and running off in the middle of testing, the first two days were a total waste. On the third day I finally explored why the CCLK (write strobe from the DSP) was spaced the way it was (8 pairs, 16 total with a gap before the next set of 16) with the DSP coder. Seems we were writing

32 bit words to a 16 bit memory space which was generating two strobes for every write. It was such a waste of time and money to spend some 3 man weeks figuring this out!

I don't like working like this, but these are the jobs you can get around DC. Defense and telecom. I worked telecom once and did not care for it. Telecom seems to be driven to squeeze every penny out of you by asking you to do a lot of work in a little time with little equipment. Too bad there doesn't seem to be a happy medium around here.

In the meantime I am trying to get around the difficulties of dealing with IT, the secretary being out of the office (no credit card purchases) and the general BS of working in a defense company. In case you haven't figured it out yet, I want the webpack on a lab machine because then I can bypass the FPGA and software people and generate my own test code. So yes, I'll spend the $6 this time for webpack 8.1. Any chance Xilinx can meet me halfway and make version 8.2 available in CD sized chunks?

Peter Alfke wrote:

Reply to
rickman

Thanks, Rickman. For a short while I worried that you or somebody might chastize me for my "arrogant" proposal. But that did not happen... Thanks! I will poke around in Xilinx, if there is a meaningful way to overcome real and also bureaucratic/security obstacles. We are now 3000 people, which unfortunately creates its own bureaucracy, witness our/my inability to resurrect the Xilinx component store... Some things are so much easier in a 100-man start-up (which Xilinx was, when I started here). If somethings needed to be done, you just did it, and asked for permission afterwards. I loved it. I do my best to keep that attitude, with some success... Peter Alfke, Xilinx (from home)

>
Reply to
Peter Alfke

Rickman,

You don't have to install them using the web installer - that's why I suggested that you check the "Install Later" box once the installer downloads and you run it. That makes the Web Installer just act like a download tool. You get installers that can easily be installed from a CD at a later time (even by IT).

I've also forwarded this request on the right person within Xilinx, perhaps he will comment. I certainly don't think that the web installer is the most intuitive way to download individual installers so I am motivated to get this suggestion in the hands of someone who can do something about it. Nonetheless, I've used this method for my customers (I'm an FAE) to get a lab-only install of iMPACT standalone, so I've been through it myself.

Best regards, Ryan Laity

BTW - Rich, I don't like bottom posting, I think that top posting is much easier for the flow of the thread (just start at the bottom and work up).

rickman wrote:

Reply to
Ryan Laity

While I am sure that a defense company can afford a DVD burner to obtain software, as a CAD software developer I always wondered, why a single FPGA device needs more than 40MB of characterisation data. Rethinking the file format for the NPH and GRF files would probably reduce the foundation install size by 1GB.

I guess the format was invented in XC2K times and noone really thought that exploiting the regularity in the device would be worth the hassle.

Kolja Sulimma

Reply to
Kolja Sulimma

Also a big USB stick could carry the whole ISE installer. No hassle with burnibng and such...

--
Uwe Bonnes                bon@elektron.ikp.physik.tu-darmstadt.de

Institut fuer Kernphysik  Schlossgartenstrasse 9  64289 Darmstadt
--------- Tel. 06151 162516 -------- Fax. 06151 164321 ----------
Reply to
Uwe Bonnes

Hi Peter, Apparently, it was Grace Hopper (appropriately enough for this thread a Rear Admiral in the U.S. Navy, and developer of the first compiler) who said "It's easier to ask forgiveness than it is to get permission.". Wise words indeed! Cheers, Syms.

formatting link

Inviato da X-Privat.Org - Registrazione gratuita

formatting link

Reply to
Symon

What do those files really contain? ;)

I did a quick check for the space that the filetypes use up:

Percent: Size: Suffix: 18.87% 356.1MB nph \ 11.86% 223.9MB so -- 41% .. 10.21% 192.7MB pdf / 6.31% 119.2MB (no suffix) 5.79% 109.4MB bsd 4.38% 82.7MB bin 4.34% 82.0MB ngc 3.48% 65.8MB vhd 3.23% 61.1MB vho 3.22% 60.9MB jar 3.18% 60.1MB grf 2.65% 50.2MB htm 2.31% 43.7MB sch 2.15% 40.7MB class 1.40% 26.4MB spd 1.29% 24.4MB lzp 1.24% 23.5MB edn 1.06% 20.1MB v

As for directories in kBytes:

306890 coregen 236992 bin 204278 doc 201538 virtex4 122558 vhdl 106958 virtex2p 106094 verilog 86608 java 85752 gnu 75094 virtexe 71092 virtex2 55478 spartan3 49816 virtex 46662 smartmodel 36302 spartan3e 27648 data 24398 spartan2e 16340 chipviewer 12988 spartan2 12590 xpla3 12030 xbr 11886 ISEexamples
Reply to
pbdelete

I can't even get that to work. For whatever reason, Xilinx requires that you provide a password to download webpack. I can't get my password to work and I can't seem to get a new one. I have gone to the page for getting a new password several times and I never get the email.

At this point I am not going to order the software. I'll just wait for IT to get around to doing the job. I am getting tired of trying to push this rope.

Reply to
rickman

Well, I got over my frustration with this effort and gave the download a try with the "install later" option. It would not complete.

When I ran the Webinstall software, it started with an error message, "System Administrator priviledges are needed to install this software." It ran anyway, but I kept getting error messages saying "The following error was encountered during installation:2:An error was detecgted in the archive.. Press retry to try again, otherwise press cancel to exit the installation." I tried both the "Connect directly to the Internet" and "Use Internet Explorer Proxy Settings" options and got the same error.

Our system is expressly set up to not allow software installation without priviledges. I guess this is still an installation even though nothing is being installed. So this method will not work for everyone.

Reply to
rickman

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.