processor bus tristate at two places

Hi,

I have my VHDL modules which access the processor bus. I have one more third party core wher in at also uses the processor bus , now i have no access to their source code.

Now my doubt is how to go about integrating these two since the tristaing of the processor bus should happen at only one place.

Any innovative soultion?? OR is it really possible or not.

Regards, Prav

Reply to
prav
Loading thread data ...

If two or more "devices" can each drive a bus, each of them should be tristateable to avoid bus contention.

~Dave~

Reply to
Dave

More specifically, for a tristate data bus as you mentioned for the processor that carries data, there is a bus arbitor somewhere. For your problem, you must check if the processor provides a bus arbitor that I think so. Otherwise it would generate bus conflicts. And the new module should have an input and an output to request the bus and gets the permission to drive the bus.

Weng

Reply to
Weng Tianxiang

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.