GHDL under x86_64 Linux

Hi, Has anyone had success with running GHDL under an x86_64 distro? I use Suse 9.3 and I dont have the option of compiling from source. The binary version seems to generate regular (x86) instructions but the assembler is parsing them in "x86_64 mode", so it chokes on certain instructions which are invalid in that mode. I did find an option in GHDL's online docs for a switch to pass options to the assembler (-Wa,OPTION), and I tried to use the --32 option, but it didn't work. Any ideas? Thanks -Jim

Reply to
Jim George
Loading thread data ...

This use is neither standard nor tested.

See the -Wa,OPTION switch in the documentation:

formatting link

Tristan.

Reply to
tgingold_nospam

This use is neither standard nor tested.

See the -Wa,OPTION switch in the documentation:

formatting link

Tristan.

Reply to
tgingold_nospam

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.