VHDL - problemik

Mam problem z niepotrzebna optymalizacja ze strony kompilatora VHDL (pakiet Quartus Altery). Chodiz o nastepujacy fragment kodu

counten : in std_logic; n_write : out std_logic_vector (1 downto 0); ... writepulse : process (clk,reset) is begin if reset='0' then n_write <= (others => '1'); elsif rising_edge(clk) then n_write <= (others => not counten); end if; end process;

O ile sygnal n_write(0) jest syntetyzowany tak jak chce (jako przezutnik) to n_write(1) jest (jak przypuszczam) kopia n_write(0) - na symulatorze pojawia sie przesuniety o 7ns. Jak przekonac kompilator ze chce aby oba wyjscia byly sytetyzowane identycznie? Nie chce ciagnac sygnalu z jednego wyjscia zeby w przyszlosci moc przebudowac uklad Dzieki

Reply to
Gregor
Loading thread data ...

No to sam sobie odpowiem :) attribute preserve: boolean; attribute preserve of n_write: signal is true;

Reply to
Gregor

Albo zrobic go jako virtual-pin - to moja ulubiona metoda :)

Reply to
jerry1111

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.