Pytanie o moduł ethernetowy

Witam.

Z tego co widze na naszym rynku są dostępne tego typu moduły firm: wiznet, tibbo itp. Mam pytanie czy da się na tym zrobić serwer? i jak wygląda komunikacja po RS232. Wszędzie zapewniają że po kupnie takiego modułu dostane odpowiednie procedury do obsługi ale pewności nie mam czy np. zmieszcze je w ATmega16. Bawił się ktoś tym w oparciu o kontrolery AVR?? Chciałbym na tym zrobić komunikacje w obie strony: AVR => ETHERNET => PC AVR <= ETHERNET <= PC czy moge to wykonać tzn. czy moduł może wysyłać dane i jednocześnie nasłuchiwać czy coś do niego nie przyszło? Nie wiem czy interfejs RS232 jest do tego celu optymalny ale jeśli chodzi o ilość wyprowadzeń kontrolera bardzo oszczędny. Jeśli miałby ktoś jakieś inne sugestie proszę o głos.

Pozdrawiam.

Reply to
viki
Loading thread data ...

Użytkownik "viki" snipped-for-privacy@poczta.onet.pl> napisał w wiadomości news: snipped-for-privacy@poczta.onet.pl...

formatting link
Pozdrawiam

Adam M.

Reply to
invalid unparseable

Wiznet to potrafi, sam odbiera i wysyła pakiety UDP/TCP. Wystarczy napisać procedurkę, która przewala dane między RX/TX UART a buforem RX/TX wizneta. Plus parę linii kodu na trzymanie sesji TCP.

Reply to
point

Masz może te kilka linijek kodu?? Chętnie bym się temu przyglądnął zanim zamówię jakiś moduł bo nie wiem czy poradze sobie z tym wszystkim. Narazie jestem na etapie wybierania konwertera i dodam że jest to mój pierwszy projekt z siecią tak że każda pomoc mile widziana, póki co nie wiem jak to wszystko ogarnąć a nie chciałbym kupić czegoś z czym mogłyby być jakieś problemy.

Reply to
Viki

.

Witam, W ciemno mozesz kupic ten modul - jezeli nie chcesz sie zaglebiac w tajniki dzialania protokolu TCP. Ja w Atmega32 zmiescilem prosty stos uIP ( port na AVR) razem z prosta strona internetowa do konfiguracji :)

Pozdr,

Mariusz

Reply to
Mariusz

Przyjrzyj sie projektowi Ethernut 1.3:

formatting link
na ATmega128.

Reply to
Adam Dybkowski

A czy gdzieś w Polsce można dostać płytki ewentualnie gotowe moduły do tego projektu w celu przetestowania??

Reply to
viki

wszystko to badziew, dopiero BTC może wprowadzi na rynek coś normalnego, czyli moduł eth z interfejsem do procesorków... no CZEKAMY! ciekawe po ile ;o)?

Reply to
UE feat. Polska & GW (+Białoruś)

a gdzie udostępniasz źródła ;o)))?

Reply to
UE feat. Polska & GW (+Białoruś)

Tutaj znajdziesz porty uIP dla roznych mikrokontrolerow:

formatting link

Reply to
Kristech

Ja kupiłem płytkę prosto z tamtej strony płacąc kartą kredytową.

BTW: Odpowiadaj _pod_ przycietym cytatem. W przeciwnym wypadku dyskusja wyglada w taki sposob:

A: Ponieważ zaburza kolejność, w jakiej ludzie normalnie czytają tekst. Q: A dlaczego odpowiadanie nad postem jest takie złe? A: Odpowiadanie nad postem. Q: Co jest najbardziej denerwującą rzeczą na usenecie i w emailach?

Reply to
Adam Dybkowski

Ok, też więc spróbuję. Właśnie się dowiedziałem że Propox robi moduły z którymi mogę przetestować oprogramowanie z

formatting link

święta racja, biorę to sobie do serca.

Reply to
Viki

In the darkest hour on Fri, 9 Dec 2005 15:27:08 +0100, UE feat Polska & GW snipped-for-privacy@go2.pl screamed:

A dlaczego udostępnić musi każdemu?

Reply to
Artur M. Piwko

a niektórym to ironię trzeba łomem wykładać...

Reply to
Marek Lewandowski

In the darkest hour on Sun, 11 Dec 2005 21:50:37 +0100, Marek Lewandowski snipped-for-privacy@poczta.onet.pl> screamed:

Jaka ironia takie wykłanie.

Reply to
Artur M. Piwko

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.