Dirt Cheap 2% Full Temperature Accurate Clock Needed

Hi

I need a full temperature range -40 to 105 degrees 2% accurate clock, can be anything from 1MHz to 48MHz

I have a micro on the board, but it has only 5% accuracy clock

Looking up resonators they cost about 6 cents in very high volumes

I need something at half the price.

I have seriously considered doing an ASIC, embedding a good RC oscillator and adding a couple of opamps to the ASIC to pay for the die and package, I need the opamp anyway

Jim Thompson: the die area for a RC oscillator is probably in the noise floor, so that does really not add cost?

Anyone here stumbled across a dirt cheap accurate oscillator.

Regards

Klaus

Reply to
klaus.kragelund
Loading thread data ...

I thought you could do better than that by calibrating the clocks over temperature -- or would that add more than your three pennies?

Is there any ambient source of frequency information that you could phase lock to?

--

Tim Wescott 
Wescott Design Services 
http://www.wescottdesign.com 

I'm looking for work -- see my website!
Reply to
Tim Wescott

Is there a uP or something that could store a cal factor in flash?

You can buy an inductor+capacitor pair for under 2 cents, and make an oscillator, but the initial tolerance will be 10% or so.

--

John Larkin         Highland Technology, Inc 
picosecond timing   precision measurement  

jlarkin att highlandtechnology dott com 
http://www.highlandtechnology.com
Reply to
John Larkin

Wow.. 3 cents. (we live in very different worlds...) If you asked me to make it I might try RC, banging into rails... but it'd be several parts.

George H.

Reply to
George Herold

be anything from 1MHz to 48MHz

and adding a couple of opamps to the ASIC to pay for the die and package, I need the opamp anyway

loor, so that does really not add cost?

1) If you mean "less than 2% total DRIFT over temperature," 2% / 145C = 140ppm/C.

If you mean "2% absolute accuracy" over that temperature range, that's pretty tough. 1% caps would eat half your accuracy budget before you even start. And they're not cheap.

2) The classic voltage-insensitive CMOS RC oscillators might be worth a look.

U1a U1b |\ |\ .---| o---+---| O--+----> | |/ | |/ | | | --- [R1] [Rt] --- Ct | | | '---------+--------'

R1 >> Rt

Most of the drift is from CMOS thresholds. A real comparator for U1a migh t fix that...

3) ISTM there are micros with better R-C clock accuracy over temp... Ah yes, the ATMega328PB, for example. Spec'd for 1% accuracy over voltage and temp, after user calibration.

formatting link
pb_datasheet.pdf

-----

34.4.1. Calibrated Internal RC Oscillator Accuracy Table 34-4?Calibration Accuracy of Internal RC Oscillator Frequency VCC Temperature Calibration Accuracy Factory %

User

%

-----

Hopefully one of those ideas helps.

Cheers, James Arthur

Reply to
dagmargoodboat

After reflection, a watch crystal and a uC with a built-in PLL seem to be your best bet.

Cheers, James Arthur

Reply to
dagmargoodboat

formatting link

does support that point of view - it could be stable enough, but might not be cheap enough.

A roughly 10MHz crystal can do just as well, and won't need the uC or the PLL

formatting link

but neither approach is likely to be dirt cheap.

around 10MHz does seem to be the sweet spot for quartz crystal oscillators, but Element 14 doesn't list anything cheaper than about ten cents, and only for a narrower temperature range.

Going directly to a manufacturer and asking for a lot of crystals might get you down to the kind of price that you ask for. Somebody in China could be your best bet, but you might need Spehro Pefany's help to make it work.

--
Bill Sloman, Sydney
Reply to
bill.sloman

Yes, I usually do that, but most of the drift of the clock is temperature, and I cannot be sure how it acts over temperature

I'm afraid not

Regards

Klaus

Reply to
klaus.kragelund

an be anything from 1MHz to 48MHz

or and adding a couple of opamps to the ASIC to pay for the die and package , I need the opamp anyway

floor, so that does really not add cost?

It's better than 2% over temperature and voltage. Initial accuracy can be c alibrated, but the drift is large

ght

Normally the thresholds are all over the place for those inverters, so I do n't think that will do

e
28pb_datasheet.pdf

?2%

?1%

The internal clock is +/-5% for that part, the same as the micro I have now

Thanks for trying :-)

Cheers

Klaus

Reply to
klaus.kragelund

On a sunny day (Mon, 1 May 2017 19:18:46 -0700 (PDT)) it happened snipped-for-privacy@yahoo.com wrote in

UJT, zero tc cap, resistor, but > 3 cents, my 1 cent worth.

Reply to
Jan Panteltje

Have you pounded on your purchasing people to get real pricing for something like a CSTCE16M0V53?

For that matter, what's wrong with a generic HC49 crystal?

--sp

--
Best regards,  
Spehro Pefhany
Reply to
Spehro Pefhany

can be anything from 1MHz to 48MHz

ator and adding a couple of opamps to the ASIC to pay for the die and packa ge, I need the opamp anyway

se floor, so that does really not add cost?

calibrated, but the drift is large

might

don't think that will do

Timing uncertainty and drift due to threshold variation are greatly reduced by this configuration. The action of U1b produces a substantially increase d timing voltage swing, giving the exact value of Vth(U1a) far less influence over the final timing result.

On top of that, my suggestion of using a real comparator instead of U1a wou ld remove nearly all the remaining Vth uncertainty and Vth variation over temperature.

RCA's Application Note ICAN-6267, "Astable and Monostable Oscillators Using RCA COS/MOS Digital Integrated Circuits," J.A. Dean and J.P. Rupley, report s that for Vdd=6V, the circuit shows less than 1% frequency drift from -55C to

+125C, using a CD4001 for U1.

age

a328pb_datasheet.pdf

?2%

?1%

ow

105C.

er a wide range of temperature and voltage after user calibration--I copied and pasted directly from that datasheet (above).

Figure 35-44 shows a ~2.5% drift from -45C to +105C. You could split that 2.5% in half by calibrating the oscillator frequency at the temperatur e midpoint (or at another convenient temperature, appropriately scaled to the df/dT transfer function).

Sure. Maybe this explanation will clarify the thinking behind my suggestions.

Cheers, James Arthur

Reply to
dagmargoodboat

You can frequency-lock a free-running oscillator at a higher frequency to a dirt cheap watch crystal real easy. I used a binary counter as a divider, a 555 as a VCO, two NAND gates and two diodes as a dector/ring modular to get the difference , and a TL431 as an integrator.

If you already have a uP onboard you can probably unload most of that stuff onto it; probably only need a quad NAND gate and a watch crystal then.

Reply to
bitrex

So the uP's clock itself isn't very accurate over temp, but what would happen if you did something like write a software PLL frequency-locked-loop multiplier to scale up a watch crystal frequency input, and fed the interrupt vector trigger input for where the processing is done from its own stabilized output?

Could it boostrap itself somehow?

Reply to
bitrex

He could do it with no extra parts if his uC had a low-power watch-crystal oscillator already fitted, by comparing that to his master 8MHz internal R-C clock using the uC's internal timers.

The problem is that he wants to do it for less than three cents.

Cheers, James Arthur

Reply to
dagmargoodboat

Sorry, brain fart, just a simple software PLL is what I mean.

Reply to
bitrex

I think you can do a low-power watch crystal oscillator for less than three cents!

Reply to
bitrex

If this were possible, don't you think there would be a ton of app notes with MCUs telling you how to do it? They go to great lengths to make their parts work without crystals because of the added cost. If there was a way to get 2% without a crystal or resonator, don't you think they would be shouting it from the rooftops? 2% is the magic number for UART communications which I suspect is why this is your requirement. So this is an often specified requirement.

--

Rick C
Reply to
rickman

tal

l R-C clock using the uC's internal timers.

He needs 1MHz minimum so he'd need a PLL or something, but a regular old qu artz crystal and a couple capacitors will do the job reliably and within bu dget. As rickman says this is a problem that has been faced by tens of thou sands of designers and the solutions are almost universally:

  1. Quartz crystal and caps- large board area unless you use a more expensiv e SMT part but cheap and very accurate.
  2. Resonator- built in caps, can be cheap and can be small but not necessar ily less than above.
  3. Monolithic RC oscillator with on-chip calibration- marginal to meet desi red specs over a wide temperature range, suitable for some IC designs.
Reply to
speff

I've been through that, no way you are getting a crystal for 3 dollar cents, or you have some contacts that are magicians :-)

Cheers

Klaus

Reply to
klaus.kragelund

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.