Symulator VHDL

Witam, Czy ktos moze pomoc mi znalezc darmowy symulator VHDL? Probowalem dostac sie do ModelSim'a Xilinx'a, ale bez skutku.

Bede bardzo wdzieczny za pomoc.

Pozdrawiam serdecznie,

Tomek

Reply to
Tomek
Loading thread data ...

Tomek snipped-for-privacy@wp.pl napisał:

To sciagnij od altery :))) Jest tez cos nazywane VHDLSimili. GRG

Reply to
Grzegorz Domagala

GRG napisal:

O jej. Super! Dziekuje bardzo.

Jeszcze nie instalowalem ale juz mi sie podoba. Jednak na stronie altery jest wzmianka o tym, ze ModelSim'a musze uzywac z Quartus'em II

3.0. Co to takiego i czy naprawde musze to instalowac?

Jeszcze raz dziekuje, ale VHDLSimili jest dla mnie moze troszeczke za malo user friendly. Ja dopiero poczatkuje (niestety).

Pozdrawiam serdecznie,

Tomek P.S. Na marginesie, jakie narzedzie byloby najlepsze z punktu widzenia projektu procesora z zestawem instrukcji kompatybilnym z I8080? Czy ModelSim wystarczy?

Reply to
Tomek

Tomek snipped-for-privacy@wp.pl napisał:

To oprogramownaie do programowania ukladow progrmaowalnych ( straszni mi sie to zdanie podoba :)). Zawiera (o ile pamietam) srodowisko do do rysowania schematow, kompilator itp. Jest tam tez fajny symulator analizujacy na poziomie hardware (projekty pieknie chodzace pod symulatorem VHDL niekoniecznie robia cos sensowanego zapisane w chipie - o czym sie sam bolesnie przekonalem :( ). AFAIR - zeby uzywac ModelSima nie potrzebujesz Quartusa - u mnie pieknie dzialal z innym pakietem - choc w miedzyczasie mogli zmienic licencje...

Zalezy co chcesz z tym procesorem zrobic - jesli to tylko projekt na uczelnie i ma byc jedynie symulowany - to wystarczy. Jesli masz to zaimplementowac fizycznie.... To potrzebujesz quartusa lub jego odpowiednik do chipow Xilinxa, oraz baaaaardzo mocnych nerwow... GRG

Reply to
Grzegorz Domagala

Grzegorz napisa?:

Okeyos. Naprawde bardzo mi milo :)).

Byloby super. Ale czy to znaczy, ze sam symulator VHDL'a nie rysuje schematu na podstawie kodu zrodlowego (naprawde nie wiem, bo jestem zielony w tym temacie, a ModelSim'a jeszcze niestety nie odpalilem)?

Dobra. Zobaczymy.

Dobrze. Przekonam sie kiedy wszystko odpale.

Ekstra. Btw, to nie jest projekt na uczelnie, robie to dla siebie. Rozumiesz, jak my wszyscy, nie wiem co mam robic z cala masa wolnego czasu 8-O.

No to klin, bo ja nie wiem czy bede go implementowal fizycznie. AFAIK, na razie to jest tylko projekt teoretyczny dzieki ktoremu wystartowac ze 100% swiadomym programowaniem w asemblerze, ale jak sie okaze, ze projekt teoretyczny to za malo to bedziemy implementowac. (A teraz lamerskie pytanie) Czy ktos u nas robi takie rzeczy i ile kosztowaloby wykonanie I8080 (tj. ok. 6000 tranzystorów)?

Naprawde bardzo dziekuje za konstruktywne zainteresowanie.

Pozdrawiam serdecznie,

Tomek

Reply to
Tomek

Tomek snipped-for-privacy@wp.pl napisał:

Oczywiscie ze nie - ModelSim dziala na wyzszym poziomie - jesli w kodzie napiszesz y=2*a; to wyliczy wartosc y ale nie bedzie tego "rozszywal" na bramki. Takie rzeczy robi kompilator (np. ten z quartusa) - co ciekawe czasem warto skopilowany projekt "przetworzyc" z powrotem na VHDL i symulowac w ModelSim :)) Wtedy symulujesz sprzet realizujacy rzadana funkcje i masz dostep do szczegolow w rodzju opoznienia sygnalu na bramkach

Czyzbys zamierzal zrobic tan projekt w postaci wlasnego dedykowanego chipa? To albo jestes baaaardzo bogaty i niemasz co robic z pieniedzmi albo nie wiesz za co sie bierzesz.... Kup jakas ksiazke - moge polecic "Projektowanie układów cyfrowych z wykorzystaniem języka VHDL" Mark Zwoliński - lub, przynajmniej, przeczytaj wstep do niej - dowiesz sie z niego czego szukac :) GRG

Reply to
Grzegorz Domagala

GRG napisal:

[...]

Rozumiem. Wiec ModelSim dziala tylko na tzw. poziomie behawioralnym? Jak wobec tego sledzi sie zmiany zmiennych uzywanych w danym algorytmie VHDL (np. algorytmie prostej bramki NAND)?

Chyba wszystko zrozumiem dopiero po zainstalowaniu calosci (jeszcze tego nie zrobilem, ale jestem w trakcie organizowania sie). Tak czy inaczej, czy badz ModelSim badz Quartus maja interface graficzny, na ktorym bede mogl sledzic strukture ukladu odpowiadajacego kodowi w VHDL'u oraz waveform'y (troche mi wstyd bo nie wiem jakiego okreslenia uzywa nasza literatura fachowa)?

Dobrze, rezygnuje z dedykowanego chipa :-(

Ksiazka jest naprawde *S*U*P*E*R* (spedzilem przed- i popoludnie czytajac, zamowie ja dzisiaj wieczorem). Jest nawet projekt malego procesora! Napisana jakby dla mnie. Wyklad odebralem jako naprawde bardzo przystepny. Na szczescie mam juz za soba pierwsze proby implementacji automatow skonczonych w ... eeee, moze lepiej nie bede robil sobie wstydu :-). Naprawde jestem Ci bardzo bardzo bardzo bardzo bardzo wdzieczny za okazane zainteresowanie oraz pomoc.

Btw, na pewno zauwazyles, ze bardzo wazny jest dla mnie interface graficzny i mozliwosc dokonania strukturalnego ogladu calego projektu (na roznych, dowolnie wybranych poziomach szczegolowosci). Musze sie tutaj troche usprawiedliwic. Mam naprawde bardzo malo (a wlasciwie to nie mam wcale) doswiadczenia w teorii automatow. Wszechstronna wizualizacja jest na tym wczesnym etapie konieczna ze wzgledow edukacyjnych. Zatem naprawde bardzo prosze o wyrozumialosc.

Jezeli wolno mi, to mam jeszcze jedna prosbe. Wlasnie poprosilem kolege, zeby wypalil mi plytke z ModelSim'em i Quartus'em II 3.0 z Altery. Dowiedzialem sie ze dostepne na tej stronie wersje tych programow *moga?* nie realizowac wszystkich funkcji. Poniewaz jednak nie jest on specjalista od VHDL'a, chcialbym uprzejmie prosic o Twoj komentarz. Moje pytanie jest takie: czy dzieki tym wersjom bede mogl wyspecyfikowac mojego I8080 i symulowac go w sposob w jaki wyzej opisalem?

Jeszcze raz bardzo serdecznie dziekuje za pomoc oraz wszystkie przekazane informacje.

Pozdrawiam,

Tomek

Reply to
Tomek

Niestety nie wiem - najwieksza rzecz jak symulowalem byla znaczaco prostsza od procesora... Mysle jednak ze nie bedziesz mial z tym wiekszych problemow - nie przypominam sobie ograniczen na wielkosc kodu. GRG

Reply to
Grzegorz Domagala

GRC napisal:

[...]

Rozumiem. Dziekuje bardzo. (I Quartus tez chodzil?)

Jeszcze, jezeli wolno mi, czy moge prosic o sugestie jakiegos alternatywnego narzedzia? Jakiegos pakietu, ktory zostal szeroko sprawdzony i na pewno dziala.

Jeszcze raz dziekuje i serdecznie pozdrawiam.

Tomek P.S. Btw, VHDL FAQ czytalem. Ale najlepsza ze wszystkiego jest rozmowa z profesjonalista. Dowod. Np. jezeli chodzi o programowanie w asemblerze, to z FAQ nie dowiesz sie, ze najlepsze z najlepszych podrecznikow to DARMOWE PDF'y Randall'a Hyde'a z jego strony:

formatting link
Zart dnia: Po co w ogole sa te FAQi ;-) ?

Reply to
Tomek

Tomek snipped-for-privacy@wp.pl napisał:

Coz - wyglada na to ze bedziesz musial poszukac profesjonalisty :))) Ja jestem tylko amatorem - mam za soba jeden niewielki projekt - ktory zrobilem w VHDL glownie dlatego zeby zobaczyc jak sie to je... GRG

Reply to
Grzegorz Domagala

GRC napisal:

Grzegorzu, obawiam sie, ze niestety ale to Ty jestes tym profesjonalista, ktorego szukalem i ta przemila rozmowa, ktora sie wlasnie odbywa jest dokladnie ta rozmowa, na ktora liczylem :-D . Ksiazka, ktore mi poleciles jest dla mnie odlotowa (chociaz zamiast ja czytac wolalbym oczywiscie posiedziec przy browarze pod parasolem).

Dobra, dobra ...

No to co, moge Cie prosic o sugestie jakiejs 100% alternatywy dla ModelSim'a? Blagam Cie!

Pozdrawiam serdecznie,

Tomek

Reply to
Tomek

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.