Three-phase PWM generator in VHDL

Hello, Is there anybody, that know where I can find a free source code to generate a three-phase PWM to drive an AC motor with the SpartanII Fpga?

Or I have to write myself :-) ?

Thank you for your attention

--
--
Ciao
 Click to see the full signature
Reply to
Giuseppe³
Loading thread data ...

rate

Well, there is the PWM to modulate the voltages. Then the voltage has to be somewhat proportional to the frequency and=20 finally the current has to be fed back to control the frequency.

What all of this do you wish to do in an FPGA ?

Rene

--=20 Ing.Buero R.Tschaggelar -

formatting link
& commercial newsgroups -
formatting link

Reply to
Rene Tschaggelar

Thank you for your attention, I'm interesting only in the PWM to modulate the voltage. All the other is done with a uP. I know that is only al counter to do a triangular wave and a compare to set the output. But there are also the dead-time and the circuit to cut the impulse with duration < dead_time. And other little thinghs. My first target is something like the Hitachi ITU three-phase PWM generator function. The best would be something like the IC BMA828.

Bye Giuseppe

Reply to
Giuseppe³

I am working with one now. You have to be clear in your requirements, such as

1) Short circuit detection/shutdown 2) Dead Time 3) Cycle reference (usualy 8 khz) 4) Typically the Phase A, B and C signals have an inverse. 5) Are you using a gate drive (ie IGBT)? 6) Motors produce lotsa noise, any feedback to prevent motor damage (see item 1) should contain a debounce to validate logic levels.

fabbl

generate

Reply to
Mike

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.