Latest threads in Field-Programmable Gate Arraysshow only best voted threads

Subject Author Posted Replies
 
Altera Flex10K support ?
Hi I have found old parts lying around in the lab and I could put them to good use. Alas, they are not supported by Quartus any more. Do you know which version of the tool I should get (and where I...
5
5
 
extracting D from 1 / D*D
Hi Folks, Incredibly busy summer here, so before burning my brain cells, Googling or -worst- digging in my very dusty math courses, I submit this question to the DSP experts who usually float around,...
13
13
 
5V FCT TO Cyclone II
Hi there, i just fount this page and i hope that you can help me. Here is what i need to do. I want to interface MC68000 to cyclone II. As you allready know MC68000 is 5V system and i need to convert...
7
7
 
Need some engineers
Electrical Engineer/Embedded Firmware Established engineering firm has immediate need for Electrical Engineers. Projects involve embedded control applications using Xilinx and MicroChip products and a...
2
2
 
Help needed to emulate a microcontroller.
Hello to all. I am very new in FPGAs but I have good experience in microcontrollers. I need a very high frequency microcontroller for a project. I tried the PIC32MX575F512L from microchip, which can...
13
13
 
to sell: Nallatech H101-PCIXM PCI-X FPGA Accelerator Card (used)
I'm trying to sell a Nallatech H101-PCIXM card I no longer need: Thanks Anton PS. Apologies if such ads are not allowed on your forum. I asked but got no reply, so went ahead with this post....
1
1
 
Verilog, VHDL, sync and async resets
We need to code some modules in both VHDL and Verilog and would like to use a parameter/generic to control inferring sync or async resets. Is there a clean way to code this that is similar in both...
1
1
 
Xilinx Coregen, command not found java error
Hi all - I'm running Xilinx ISE 10.1 on a 64-bit linux machine, and I'm getting a weird error. Designs which do not use a coregen generated file compile fine...however, when I try and use coregen, or...
5
5
 
Is there a utility to peek and poke PCIe devices
Is there a utility that does peeks and pokes to PCIe devices. I'm developing an FPGA with a PCIe interface and I'd like to do some simple memory accesses before I move on to the more complicated...
5
5
 
ISE bug?
I'm still using ISE 8.2i. Yes, I know. But it's served me well and I live by the "if it works don't upgrade it principle." "Served me well" until now that is. I may have found a bug. My FPGA works...
8
8
 
elf of jpeg code to the microblaze
Hello I want to compile rhe jpeg code for the microblaze processor. So i download the gnu tool for the microblaze ( i follow this I use a ubunto machine to compile i use the command: mb-linux-gcc...
1
1
 
Newbie PCB
Hi there! I need some advice. I want to make a circuit to drive a VGA monitor. I've done it with the FPGA trainer boards with Xilinx chips, but now I want to design a custom board that host only the...
8
8
 
RS232
Hi all I am using Altera DE0 Cyclone III board. I am confused about the RS232 connection in DE0 Cyclone II. my question is that: There are some connection in FPGA board related to the RS232. Dose it...
1
1
 
FPGA
Hi all I want to do a project with a FPGA. The FPGA that I choos is ALTERA Cyclone III.I think that there is no analog input in that. Of course, I know that I can use an external ADC(A/D) but I cannot...
2
2
 
QuartusII Ver11.0 programmer problems?
I have a client who is trying to use the QuartusII Ver 11.0 stand alone programmer to program a Cyclone IV board, and is having problems and his machine isn't seeing the programmer (It's an Entner...
2
2