Latest threads in Field-Programmable Gate Arraysshow only best voted threads

Subject Author Posted Replies
 
Need Clocked 1.5+Ghz LVDS buffer. Or bright ideas!
HI Folks; I've been asked to design a VITA57 board. I need to loop back all LA and HA signals as 2.5 volt LVDS. My customer has given me the following requirements: Carrier board has 144 bidirectional...
1
1
 
DDR2 read interface
Hello, I have a question regarding DDR2 memory controller. In a read operation from DDR2 based on strobe, do one need to shift the strobe by 90' in order to capture the valid data, or is there any...
1
1
 
store data file in DDR2-SDRAM
Hi How can I load a data file to the DDR2-SDRAM. I'm use virtex 5 Ml507. I try to download my file with the XMD but when i try to read the data I obtained wrong values. Any suggestions plz...
 
Horsepower On Tap
I was waiting for Quartus to finish crunching my latest build, and poking around idly on NewEgg trying to see what it would cost to get a machine with a little more juice to it. I started thinking...
7
7
 
Xilinx 7 series PCIe core models vs. Icarus Verilog
We are looking for simulation models for the Xilinx 7 series FPGA PCIe core. We use Icarus Verilog models extensively, but the models that Xilinx provides are encrypted, so locked in to a small set of...
6
6
 
Is it possible to save the FPGA state periodically?
Can Xilinx or Altera FPGA support scan feature to save the state? Any details will be great.
8
8
 
Classic Disk Drive simulation and binary file IO.
I've designed a PDP8 computer and RK08 disk drive controller. I'm trying to test the RK08 controller with a simulated RK05 disk drive. The RK05 disk drive essentially has 6496 sectors and each sector...
6
6
 
FPGA with a bus (serial,USB or ethernet)
I could use a FPGA (and development board) with a way to connect to a desktop. But any of those is not in VHDL. How do use a FPGA with serial port or whatever bus or interface. By the way, I need some...
 
Compatible Xilinx USB Cables: worth to bother?
Hi, I see on Ebay cheap USB Cables(40-60USD) that claims to be compatible with the Xilinx ones. I don't understand wich one, if any, they emulate (DLC9G). Do they work? Are they supported on latest...
25
25
 
XC7V2000T, the perfect Thanksgiving gift
These prices come up on Avnet Express. I searched via Low end: XC7V2000T-1FH1761C - $29897.06 High end: XC7V2000T-G2FLG1925E - $67150.00 Does that make the the XC7V2000 the most expensive "standard...
11
11
 
Xilinx chipscope via Virtualbox
Hi, Has anyone managed to get a Mac running XP in a Virtualbox connect to Xilinx Platform cable USB? /michael
2
2
 
RTOS with support for TCP/IP sockets on Spartan 3E
Hello, I like to design a prototype network application on a Spartan 3E board, and would need to have an RTOS with support for TCP/IP sockets. Which soft core processor and RTOS would be recommendable...
4
4
 
Production Programming of Flash for FPGAs and MCUs
Someone on Linkedin asked about a stand alone device for programming the flash for FPGAs in the field or in a production environment. There doesn't seem to be anything currently available like this....
15
15
 
Xilinx ISE 13.2 Verilog behavioural simulation in Command Line
Dear friends, I am doing a project for nexys-2 spartan 3 board. To reduce time a first design a module in "iverilog.exe" and run using "vvp.exe". This is a very simple and fast approach. However...
 
Migrating to Actel Libero
Hello all. I am trying to migrate from Xilinx ISE to Actel Libero. I created a new project in Libero and copied the *.vhd files from the ISE project. Then I replaced all the Xilinx FIFOs and CLKDLLs...
3
3