идеальный язык программирования ?

Hi Olga !

Совсем недавно 01 Nov 05 10:22, Olga Nonova писал к Ruslan Mohniuc:

ON> С принципиальной стороной Ваших слов согласна полностью. Hе уверена ON> только в том, что "этот уровень уже очень давно существует и успешно ON> применяется". Hапример, если бы существовал хороший модуль контроллера ON> ЖКИ-клавиатура со встроенными функциями форматного ввода-вывода, я бы ON> незамедлительно им бы воспользовалась. А Вы в курсе, сколько вариантов ЖКИ и сколько вариантов клавиатур существует? Уверяю, что значительно больше, чем представит каждый отдельно взятый разработчик, решивший сварганить такой универсальный модуль. И никогда этот универсальный модуль не будет оптимальным решением для любого конкретного применения. Он проиграет по всем критериям. Чем навороченней модуль- тем меньше их купят. Соответсвенно, супер-пупер-мега модуль не купит никто. :)

ON> Hо увы, таких нет. И наконец, судя по звучавшей здесь реакции ON> неприятия, Ваш тезис: "благодаря существованию этого уровня и ON> возможно заработать на хлеб с маслом тем, кто в этой эхе тусуется"- ON> этот тезис тоже вызывает возражения. Я несколько о другом. Пока живут на свете заказчики- эмбиддедством жить нам стало быть с руки. :) Ведь собственно любое нечто, изготовленное нами, является для заказчика всего лишь модулем с четко описанными входами-выходами.

Вот когда в магазинах будет продаваться коробка с большой кнопкой "хочу" и вербальным вводом потребностей купившего, тогда отрасль захиреет. Hо вряд ли мы до этого дотянем. :)

WBRgrds Ruslan

Reply to
Ruslan Mohniuc
Loading thread data ...

Hello, Dmitry Ponyatov! You wrote in conference fido7.ru.embedded to Dmitry Orlov on Tue, 01 Nov 2005 19:49:18

+0300:

ON>> А еще есть язык "детских кубиков". Это когда не

DO>> Ага вместо простой программы ставишь кучи счетсчиков, DO>> триггеров, мультиплексоров, дешифраторов и тому подобных DO>> кубиков.

DP> существуют уровни иерархии, по которым можно и вверх и вниз DP> (если есть потребность/возможность изготовления заказных ИМС) DP> ползать

Знаешь сколько это стоит, если это смешанная аналоговая, цифровая и не дай бог силовая электроника?

DO>> Играйтесь в свои кубики сами, коли писать программы для вас DO>> слишком сложно.

DP> ты же сам в них играешь и более того обязан это желать по

Увы. То, чем я занимаюсь от кубиков очень далеко.

DP> профессии/должности -- железо проектируешь из микросхем и DP> типовых схем, библиотеки используешь и стронние и свои.

С чего ты взял?

DP> другой вопрос что есть ли софт (кроме OrCADа или чего там у DP> вас используется), чтобы сделать это удобным и тебе, и тем DP> кому в твоих проектах копаться придется

Что сделать удобным? Схему нарисовать - таки ОрКад, только какая связь?

DP> никто же не предлагает _только_ в текстовом виде с кубиками DP> работать -- для юзера существуют соответстущие оболочки, а вот DP> для автоматической обработки и ручного копания в кишках нужно DP> иметь текстовое представление этих схем

Каких схем?

dima

formatting link

Reply to
Dmitry Orlov

Пpиветствую, Kirill!

DT>>> Брр. Есть же ПЛМ-ки. Собираешь систему из логических элементов и DT>>> более крупных модулей - но виртуально. И прошиваешь один кристалл. KF>>> Хочу printf в виде cxемы. VN>> Мелко просишь. Справедливости ради хочу заметить, что идея не столь VN>> бредова, как кажется на первый взгляд. Hапример, WizNet предоставляет VN>> чип с реализованным в нем TCP/IP. Параметры, конечно, слабоваты (поток KF> Там внутри программная реализация. Hе знаю, как там у WizNet, но TCPIP в виде прошивки ПЛИС в интернете лежит. Погугли по "VHDL IP Stack". Части этих исходников я использовал, и они даже работали. Первоисточник клянётся, что у него работает всё. Проверять это я поленился.

KF> А речь совсем про другое. Да, printf это может быть через-чур, но я KF> вполне серьёзно -- хотелось бы иметь возможность реализации простых KF> алгоритмов в ПЛИС. Мне представляется вполне возможным выкинуть KF> нафиг невразумительные исходники автомата и реализовать их KF> схемой на ПЛИС. В чём проблема ? VHDL/Verilog в имеющиеся конечности.

Michael Tulupov ...

Reply to
Michael Tulupov

Olga, ты ещё здесь сидишь?

Понедельник Октябрь 31 2005 10:10, Olga Nonova wrote to Dimmy Timchenko:

DT>> Трудно сказать, по какому пути на самом деле пойдёт развитие DT>> микроэлектроники. Может, нанороботы будут сами из себя строить DT>> девайсы по техзаданию. ;) ON> Да, вполне возможно. Hо до этого еще далеко. Сейчас же в электронике ON> наблюдается кризис с интеграторами. Hе с комплектующими, не с софтом, ON> а именно с людьми, кто все это может собрать в систему и заставить ON> работать на обьекте. Здравый смысл говорит, что имеет смысл обратить ON> внимание на облегчение труда таким интеграторам. Понятно, - требовать ON> от них специальных знаний по программированию, нагружать адой или ON> явой, оболочками и CASE системами было бы чрезвычайно неумно, ибо у ON> интегратора много других очень прикладных проблем. Педставляется, что ON> хорошим облегчением ситуации могло бы быть применение готовых гибких ON> модулей, которые HЕ программируются в обычном понимании, ON> а конфигурируются через выбор из ряда готовых вариантов поведения и ON> задание ряда параметров.

Проблема в людях, а не в технике! Когда программисты "кульхацкерствуют", а спецификации делаются "от балды" - собрать надёжную систему едва ли возможно. Кстати, вариант с "условно-вменяемыми" нанороботами может оказаться куда страшнее страшных эпидемий прошлого...

Георгий

Reply to
George Shepelev

Hello Dmitry.

Tue Nov 01 2005 19:39, Dmitry Ponyatov wrote to me:

DT>> Так ведь от области применения зависит. Есть, например, DT>> директивные,

DT>> декларативные и функциональные языки...

DP> эти два подхода в эхотаге применимы ?

Декларативные - вряд ли, а функциональные, думаю, возможны. Hо я их суть плохо понимаю: математика! :)

Кстати, ведь Форт тоже где-то функциональный язык. А он в МК используется.

Dimmy.

Reply to
Dimmy Timchenko

Hello Dmitry.

Tue Nov 01 2005 19:41, Dmitry Ponyatov wrote to Sergey Mudry:

SM>> Попытка создать сабж уже была. В результате родилась Ада. :)

DP> а в ней есть поддержка не-декларативных методов ?

:) Ада - директивный язык.

Dimmy.

Reply to
Dimmy Timchenko

Hello George.

Wed Nov 02 2005 03:19, George Shepelev wrote to Olga Nonova:

GS> Кстати, вариант с "условно-вменяемыми" нанороботами может оказаться GS> куда страшнее страшных эпидемий прошлого...

Это да. :)

Dimmy.

Reply to
Dimmy Timchenko

Привет!

"Dmitry Ponyatov"

VN> Скажем, был старый добрый девайс с управлением по любому VN> последовательному каналу, скажем, RS-232 - легким движением руки он VN> превращается в наимоднючий сетевой TosterNet.

DP> только движением не руки, а кошелька DP>

DP> а если кошелек легкий, то как руками не махай -- нифига не заработает

8-) DP>

DP> сколько оно стоит-то, это чудо, учитывая его мелкосерийность и совковость DP> родных банков и почты ?

Если хочешь поругать огульно всё отечественное - приходи на

formatting link
и я тебя там по полной программе обматерю. В России давно уже не осталось совковых банков (кроме Сбербанка), да и почта нынче только уведомления из налоговой носит, а всякие курьерские службы типа DHP, UPS или FedEx давным-давно захватили рынок перевозок всякой электронной мелочи. Это на случай, если искомого чипа у вас в городе случайно не оказалось.

Конкретный чип W3100A в Новосибирске имеется в "Платане" ($5.75 розница) и в "Планаре" (340 р. розница, 257р. опт), помимо этого он есть в "Симметроне" и "ЭФО". (Надеюсь, это не будет считаться коммерческой рекламой.) Согласно информации

formatting link
сей чип имеется на складах 22 фирм, наверняка и в твоем городе что-нибудь есть, не сами фирмы, так их дистрибьютеры, просто заказывай - и они сами все тебе привезут, так что отмазки насчет совковости банков и почты следовало оставить в XX веке.

С уважением,

Виталий Насенник

Reply to
Vitaly Nasennik

Hello, Kirill Frolov! You wrote in conference fido7.ru.embedded to Dmitry Orlov on Tue, 01 Nov 2005 09:25:27

+0300:

KF>>> Какие протоколы там по RS232 бегают и как их завернуть в KF>>> сокет (а что это мешает сделать на стоящем рядом писюке KF>>> абсолютно занахаляву?) -- KF>>> ДЕЛО ДЕСЯТОЕ. Откуда у этого тостера дырка для ethernet'а KF>>> возьмётся -- вот самый главный вопрос.

DO>> Это еще не вопрос, вопрос куда втыкать второй конец кабеля, DO>> воткнутого в эту дырку.

KF> В стоящий рядом писюк или локальную сеть.

Рядом стоит холодильник, фуд-процессор и прочая кухонная техника и нет никакого писюка и локальной сети.

dima

formatting link

Reply to
Dmitry Orlov

Hello, Dimmy Timchenko! You wrote in conference fido7.ru.embedded to Dmitry Ponyatov on Wed, 02 Nov 2005 06:00:17

+0300:

DT> Кстати, ведь Форт тоже где-то функциональный язык. А он в МК DT> используется.

Маргиналами все используется.

dima

formatting link

Reply to
Dmitry Orlov

Hi Vitaly, hope you are having a nice day!

02 Hоя 05, Vitaly Nasennik wrote to Dmitry Ponyatov:

Раз уж такая тема, то немного офтопика не помешает.

VN> В России VN> давно уже не осталось совковых банков (кроме Сбербанка),

Сбер не настолько совковый, как кажется на первый взгляд. Операционисты там действительно мало о чем знают (в большинстве других банков ситуация несильно лучше), но набор сервисных услуг у них весьма на уровне. С операционистами бороться сравнительно легко - нужно четко знать, что ты от них хочешь получить и внятно это объяснить. Это является хорошим стимулом к решению проблемы. В том же сбере, если вопрос не удавалось решить с операционистом, мне перезванивали по телефону когда необходимая информация у них появлялась. В сбере плохо другое - самые низкие проценты по вкладам и бешенные (по сравнению с другими банками) тарифы на обслуживание юрлиц.

VN> да и почта VN> нынче только уведомления из налоговой носит,

Тоже не так. За свои деньги почта работает более чем замечательно. Во всяком случае международные почтовые отпраления доставляются до нашего города менее чем за неделю (та часть дороги, что по РФ). С внутрироссийской почтой тоже существенных проблем нет. Поверь, что во многих "развитых европейских странах" с госпочтой ситуация хуже чем в РФ.

VN> а всякие курьерские VN> службы типа DHP, UPS или FedEx давным-давно захватили рынок перевозок VN> всякой электронной мелочи.

ЕМС Гарантпост составляет им вполне себе конкуренцию, однако ЕМС - это реинкарнация Почты России. Точнее ЕМС Гарантпост на територрии Росии представляет собой подразделение Почты России.

VN> коммерческой рекламой.) Согласно информации

formatting link
сей чип VN> имеется на складах 22 фирм, наверняка и в твоем городе что-нибудь VN> есть,

А вот это фигня. 90% информации на einfo - чистой воды липа, а эти самые склады находятся в лучшем случае в Европе. Да, заказать через такие конторы можно, но дорого и меделенно.

VN> не сами фирмы, так их дистрибьютеры, просто заказывай - и они VN> сами все тебе привезут, так что отмазки насчет совковости банков и VN> почты следовало оставить в XX веке.

С этим согласен. Только существенно лучше заказывать не у наших торгашей, а международных диллеров, которые работают с конечными пользователеми. Получается дешевле и быстрее. Если речь не идет о коммерческих поставках, то проблем с таможней не возникает.

WBR, AVB

Reply to
Alexey V Bugrov

Hello Michael.

Wed Nov 02 2005 02:42, Michael Tulupov wrote to Kirill Frolov:

KF>> алгоритмов в ПЛИС. Мне представляется вполне возможным выкинуть KF>> нафиг невразумительные исходники автомата и реализовать их KF>> схемой на ПЛИС.

MT> В чём проблема ? VHDL/Verilog в имеющиеся конечности.

А чем они, кстати, отличаются? Кто "лучше"? :)

Dimmy.

Reply to
Dimmy Timchenko

On 02/Nov/05 at 10:39 you write:

SM>> Попытка создать сабж уже была. В результате родилась Ада. :)

DP> а в ней есть поддержка не-декларативных методов ?

DT> :) Ада - директивный язык.

ошибся -- надо не- убрать и добавить еще "функциональных" ?

Reply to
Dmitry Ponyatov

Пpиветствую, Dimmy!

MT>> В чём проблема ? VHDL/Verilog в имеющиеся конечности. DT> А чем они, кстати, отличаются? Кто "лучше"? :) Лучше всего куча плат с 1553 серией и Hаш Батя Провод МГТФ :-))) Если серьёзно....Verilog - напоминает С по синтаксису. Мало языковых наворотов. В основном требует меньше писанины, но некоторые штуки на нём делать неудобно/нетривиально (это что касается синтезируемого подмножества). Что касается несинтезируемого - тут отличий ещё больше. Verilog имхо менее пригоден для написания тестов (только для простых). Причина тому - нет стандартных библиотек (удобного файлового ввода-вывода хотя бы). VHDL - напоминает Аду. Поэтому писать на нём - ад :-))) В смысле много писать приходится, чтоб сделать что-то простое. Вот пример - D-триггер на VHDL: library IEEE; use IEEE.STD_LOGIC_1164.ALL;

entity DFF is Port ( dat_in : in std_logic; dat_out : out std_logic := '0'; wrt : in std_logic ); end DFF;

architecture Synthesable of DFF is begin regist: process (wrt) begin if (rising_edge(wrt)) then dat_out <= dat_in; end if; end process regist; end Synthesable; Получили 347 байт. А вот на Verilog: module DFF(dat_in,dat_out,wrt); input dat_in,wrt; output dat_out; reg dat_out; always@(posedge wrt) dat_out = dat_in; endmodule Получили 141 байт :-) Зато что посложнее - на VHDL имхо удобнее. И тесты тоже более сложные можно слепить. В VHDL есть много стандартных библиотек (иерархии STD,IEEE,WAVES) что сильно облегчает жизнь иногда. Короче, имхо лучше знать оба. У каждого свои плюсы и минусы. Благо их в проекте можно сочетать по вкусу - любой нормальный пакет для разработки понимает оба. Вообще никто не заставляет их учить - опять же любой пакет имеет рисовалку схем а-ля пикад. Так что можешь всё чертить ручками - только что-то сложное делать замучаешься. Hу и сейчас помимо этого много чего напридумывали.....Вон есть синтез из программы на С(++), из Матлаба, из SystemView..... Только это как Ада и жаба на микроконтроллерах (здравствуй, Вова Теплоухов :-))) - оно сушествует, но что-то я не видел и не слышал о широком использовании (может только в каких-то узких областях). Хотя кто там знает кухню Intel и NVidia :-)

Michael Tulupov ...

Reply to
Michael Tulupov

Hello Michael.

Thu Nov 03 2005 20:31, Michael Tulupov wrote to me:

[skip]

Спасибо, хорошо объяснил. В двух словах - а всё понятно.

MT> Короче, имхо лучше знать оба. У каждого свои плюсы и минусы. MT> Благо их в проекте можно сочетать по вкусу - любой нормальный MT> пакет для разработки понимает оба.

Это хорошо. Кстати, а насколько сложно сделать на ПЛМ какой-нибудь умножитель-аккумулятор или вообще модуль БПФ? :)

MT> Hу и сейчас помимо этого много чего напридумывали.....Вон есть MT> синтез из программы на С(++), из Матлаба, из SystemView.....

Матлаб у меня есть, надо попробовать. ;))

Dimmy.

Reply to
Dimmy Timchenko

KF>> А речь совсем про другое. Да, printf это может быть через-чур, но я KF>> вполне серьёзно -- хотелось бы иметь возможность реализации простых KF>> алгоритмов в ПЛИС. Мне представляется вполне возможным выкинуть KF>> нафиг невразумительные исходники автомата и реализовать их KF>> схемой на ПЛИС. MT> В чём проблема ? VHDL/Verilog в имеющиеся конечности.

Что можно взять в руки для освоения ПЛИС на уровне радиолюбителя? Какие средства программирования, как программные, так и аппаратные, какие собственно сами ПЛИС сейчас наиболее популярны из мелких <$10у.е. ?

Reply to
Kirill Frolov

Hello Dmitry.

Thu Nov 03 2005 19:39, Dmitry Ponyatov wrote to me:

SM>>> Попытка создать сабж уже была. В результате родилась Ада. :)

DP>> а в ней есть поддержка не-декларативных методов ?

DT>> :) Ада - директивный язык.

DP> ошибся -- надо не- убрать и добавить еще "функциональных" ?

:) Ада - директивный язык. Как C++ или Ява. Когда ты пишешь программу, ты даёшь системе указания: сделать то, сделать это. А Рефал, который тебе понравился, как я понял, как раз к функциональным относится. Как O'Caml или Haskell. Идея за этими языками стоит, вроде бы, хорошая, но, чтобы её осознать во всей полноте, надо быть математиком. :)

Dimmy.

Reply to
Dimmy Timchenko

Fri, 04 Nov 2005 22:19:31 +0300 Kirill Frolov wrote to Michael Tulupov:

KF> Что можно взять в руки для освоения ПЛИС на уровне KF> радиолюбителя? Какие средства программирования, как KF> программные, так и аппаратные, какие собственно сами ПЛИС KF> сейчас наиболее популярны из мелких <$10у.е. ?

Самое простое - это взять Квартус от Альтеры и рисовать схему в его графическом редакторе. Почти никаких дополнительных знаний про всякие HDL, синтезаторы, симуляторы тут иметь не нужно. Квартус очень простой в освоении пакет, в котором все в одном флаконе - весь цикл от ввода до верификации и получения прошивок можно делать в нем. Далее, если хочется делать серьезные вещи, целесообразно познакомиться с этими самыми HDL, синтезаторами, симуляторами.

Что касается мелких ПЛИС. Младший Циклон EP1C3 в TQFP стОит порядка 10-15 зеленых денег. А это порядка 3 тысяч ячеек, в каждой из которых LUT на 4 входа и триггер. И памяти 13 блоков емкостью 4 кбит каждый, которая может быть сконфигурирована как честная двухпортовая память. Т.к. эта ПЛИС FPGA, к ней нужно еще конфигуратор, но он недорогой - пару баксов.

Можно, конечно, на семейство MAX посмотреть (CPLD), но, имхо, лучше не надо. Особенно для освоения. При вышеуказанной стоимости решение на CPLD будет на несколько порядков беднее по емкости, да и по скорости уступит почти везде. И количество циклов у максов небольшое. Сегодня есть, правда, интересный вариант в этой нише MAX II, который представляет собой ПЛИС Циклон без блоков памяти, без триггеров в элементах ввода-вывода и со встроенной флешью для хранения конфигурации и для данных на 8 кбайт. Вариант на 240 ячеек будет как раз где-то на уровне 10-15 баксов. Только и тут я бы все-таки рекомендовал FPGA - при практически той же стоимости 3 тыщи ячеек и кучи памяти вместо 240 ячеек.

У Зайлинкса есть интересные варианты на FPGA Spartan3 - по цене примерно как указанный циклон, по ресурсам тоже где-то паритет. Но у Зайлинксовских FPGA кроме блоковой памяти еще можно распределенную на LUT'ах использовать. И в этом спартанце есть аппаратные умножители 18х18. Другой заслуживающий внимания вариант от Зайлинкса - это CoolRunner. Функционально это почти аналог альтеровским MAX'ам, но мелкопотребляющий - в статике вообще почти ничего не жрет, в отличие от.

У с дружественностью средств разработки у Зайлинкса все хуже. Графический редактор у него гадость. Своего симулятора нет. Т.е. тут надо сразу садиться за HDL и осваивать какой-нить ModelSim или Aldec. А также нелишне познакомиться со сторонним синтезатором - Синплифаем, к примеру, - родной XST что-то не хвалят.

Reply to
Harry Zhurov

Hello Alex.

Sat Nov 05 2005 15:29, Alex Mogilnikov wrote to me:

DT>> Это хорошо. Кстати, а насколько сложно сделать на ПЛМ какой-нибудь DT>> умножитель-аккумулятор или вообще модуль БПФ? :)

AM> Умножитель как таковой делать вряд ли кому-то надо, их берут AM> готовые. А вот устройство, содержащее 384 фильтра 4 порядка для AM> телефонных каналов с измерителями уровня на выходе каждого у меня AM> сделал студент-дипломник.

Ух ты ж! :)

AM> Причем не электронщик, а "чистый" математик.

Математикам проще понять такие вещи. Вот, кстати, читаю книжку "Guide to DSP"

- интереснейшая вещь, однако! Жаль что в той PDF-ке выходных данных нет. Книжка написана очень просто и доступно.

Dimmy.

Reply to
Dimmy Timchenko

Привет Kirill!

04 Nov 05 22:19, Kirill Frolov писал Michael Tulupov:

KF> Что можно взять в руки для освоения ПЛИС на уровне KF> радиолюбителя? Какие средства программирования, как KF> программные, так и аппаратные, какие собственно сами ПЛИС KF> сейчас наиболее популярны из мелких <$10у.е. ?

От Альтеры наверное лучше взять что-то из серии MAX3000 - простые кристаллы с ПЗУ, с одним питанием, и недорогие. Программируются внутрисхемно через байтбластер. Средства разработки бесплатно берутся с сайта Альтеры.

Всего наилучшего, [Team PCAD 2000] Алексей М. ... Посетители должны общаться по сети.

Reply to
Alex Mogilnikov

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.