XST issues with loop code

All-

I had posted before about XST not generating correct netlist for comparisons inside nested loop code within an always block. The code is of this form:

always @( a[0], a[1], a[2], ... ) begin

for (i=0; i

Reply to
Jeff Brower
Loading thread data ...

The problem might go away sooner if this was submitted as a web case! I know of *no* authors of EDA tools that want incorrect logic in their code. Usability is one thing, bad results are another.

Reply to
John_H

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.