hey,
i have this piece of code where i want to use the multiply/add functionality of the DSP48 slice of a V4, i want to infer it instead of instantiate (to be portable but also to try it out) and i have found following example code:
signal a_reg : std_logic_vector((a_width - 1) downto 0); signal b_reg : std_logic_vector((b_width - 1) downto 0); signal c_align : std_logic_vector((c_width - 1) downto 0); signal c_reg : std_logic_vector((c_width - 1) downto 0); signal m_reg : std_logic_vector((a_width + b_width - 1) downto 0);
multadd_proc : process(clk) begin if (clk'event and clk = '1') then if (reset = '1') then a_reg '0'); b_reg '0'); c_align '0'); c_reg '0'); m_reg '0'); p_out '0'); elsif (ce = '1') then a_reg