XPLA3 bidirectional bus

Hi all,

I implemented a bidirectional bus using tristate buffer inside a XPLA3 device. The CPLD is interconnected to an external device by this bidirectional bus. The problem is that I cannot write or read the contents of external device. The timing is correct, I have a doubt: could be possible that the external device has no such output current to drive a low on the bus when the bidirectional bus inside the CPLD is in read direction? Someone know issue about implementation of bidirectional bus using XPL3 device?

Thanks, Maroc

Reply to
Maroc
Loading thread data ...

There should be a fitter report file, look at that, and see if the eqns look ok for .oe and .io feedback from the pins. You can check drive ability with a multimeter.

-jg

Reply to
Jim Granville

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.