Xilinx XC9500 CPLD internal pull-up??

Hello! Does anyone know if the Xilinx XC9500 has internal pull-ups (or pull-downs...) when in normal mode (programmable)? If not, how can I solve this problem...

-> I have a input pin of the CPLD connected to a output pin of a IC that normally is tri-stated. The device gets very hot when the output of the IC is in tri-state (so I think...). Is this possible? how can I solve this without an external pull-up (or pull-down)? The programming language that i'm using is ABEL.

Thanks in advance Best Regards

Bruno

Reply to
Bruno Cardeira
Loading thread data ...

The XC9500 family has a pullup, but is active only during programming and can not be used during normal operation. Please, read the data sheet! You have to connect an external resistor.

Best regards

--
Klaus Falser
Durst Phototechnik AG
kfalser@IHATESPAMdurst.it
Reply to
Falser Klaus

Hello! Sorry for the previous question. My card is done... and I have no external pull-up!! (I was confused by the information in a older version of the xc9500 datasheet):( . My question now is:

=> Can the device get hot because of a floating input (the output that drives the CPLD sometimes is in tri-state)? Can I solve this problem only in software using something like a bidirectional pin driving the pin internally with Logic "0" or Logic "1" (only when the output from the other IC is in tri-state)? Thanks Again Best Regards Bruno

Reply to
Bruno Cardeira

Yes, it can get hot, either by oscillation or by cross-conduction of the P and N FETs at that input, if it floats right about 1/2 the supply voltage. Well, many of the boards I've designed accumulate added resistors tack soldered onto the traces until the next manufacturing run of boards. There's not much you can do about it.

Jon

Reply to
Jon Elson

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.