Xilinx + ModelSim XE Linux

Hi all,

I'm happy to see Xilinx is releasing their WebPack for Linux, and with a couple of minor tweaks, it actually works on everyday versions of Linux like Debian.

Problem is, its missing the simulation and verfication tools shipped with the Windows version. I'm namely thinking about ModelSim, and the version that is downloadable from the Xilinx WebPack homepage - what are people doing on Linux for (Free) simulation and verification?

Cheers

Tim

--
"Linux... because rebooting is for adding new hardware!"

http://home.swiftdsl.com.au/~tmccoy
MSN: timsy_01@hotmail.com
ICQ: 160341067
Reply to
Tim McCoy
Loading thread data ...

As far as I know there are no plans to release a ModelSim XE starter for Linux. Most folks use command line simulators and GTKwave.

Icarus Verilog is the most popular Verilog sim.

formatting link

GHDL is probably the most popular VHDL sim.

formatting link

GPL-Cver is also good from what I hear (Verilog).

formatting link

Of course if you have money to burn, commercial sims will give you better performance and (arguably) a better UI than GTKwave, but I'm guessing you're not looking for commercial so the above should do the trick.

Good luck, Arlen

Reply to
gallen

Symphony EDA is very good, and runs under Linux as well as Windows.

Leon

--
Leon Heller, G1HSM
http://www.geocities.com/leon_heller
Reply to
Leon Heller

...much appreciated!

Cheers

Tim

-- "Linux... because rebooting is for adding new hardware!"

formatting link
MSN: timsy snipped-for-privacy@hotmail.com ICQ: 160341067

Reply to
Tim McCoy

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.