Xilinx ISE simulator

I am using the ISE simulator and I can't seem to figure out how to display variables in the waveform display. All of the documentation refers to "signals", but I am never sure if this term is used in the general or the VHDL specific sense. Am I missing something or is waveform display of variables not supported in the ISE simulator?

I am also finding it a bit of a PITA that the simulator seems to want to talk to something, maybe itself, through my firewall! I am using Sophos and it does not have a way of blanket saying that this is ok. It wants to verify every program that accesses the network by comparing the checksum of the program. It am guessing that ISE simulator is compiling the code down to machine executable because the checksum is different every time I compile new source! So every time I have to tell the firewall that this is a new, but valid program! Is this really necessary? Or is there a way to tell ISE simulator to stop talking to itself?

Rick

Reply to
rickman
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.