Xilinx ISE Simulator

I have used other VHDL simulators, but I am now trying to run the Xilinx ISE simulator in Foundation 7.1 for the first time. As you can see below, I'm not having much success.

I get the following message:

Compiling vhdl file "Match8.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Parsing "match8_lau.prj": 0.73 ERROR:Simulator:222 - Generated C++ compilation was unsuccessful Codegen UNISIM/VPKG: 0.13 Codegen UNISIM/VPKG: 0.09 Codegen UNISIM/VPKG: 0.09 Codegen UNISIM/VPKG: 0.11 Codegen UNISIM/VPKG: 0.11 Codegen unisim/VPKG: 0.11 Codegen unisim/VPKG: 0.09 ERROR:Simulator:222 - Generated C++ compilation was unsuccessful Codegen UNISIM/VCOMPONENTS: 0.03 Codegen UNISIM/VCOMPONENTS: 0.00 Codegen unisim/SRLC16E: 0.00 Codegen unisim/SRLC16E: 0.02 Codegen unisim/SRLC16E: 0.00 Codegen unisim/MUXCY: 0.00 Codegen unisim/MUXCY: 0.01 Codegen work/MATCH8: 0.00 ERROR:Simulator:222 - Generated C++ compilation was unsuccessful Codegen unisim/SRLC16E/SRLC16E_V: 0.02 Codegen unisim/SRLC16E/SRLC16E_V: 0.00 ERROR:Simulator:222 - Generated C++ compilation was unsuccessful Codegen unisim/MUXCY/MUXCY_V: 0.00 Codegen unisim/MUXCY/MUXCY_V: 0.00 ERROR:Simulator:222 - Generated C++ compilation was unsuccessful Codegen work/MATCH8/BEHAVIOR: 0.01 ERROR: Fuse failed

When trying to simulate a simple 8 bit identity comparator that is known to work fine. Basically no matter what code I try to simulate, I get the ERROR: Simulator:222 error.

It looks that something very basic is wrong, like some environment setting, but I have found no information on this error anywhere. The code compiles into a bitstream without any problems, and the VHDL syntax checker works fine, it just doesn't want to simulate.

Any suggestions would be greatly appreciated.

Thanks

Chris Johnson

Reply to
mail
Loading thread data ...

schrieb im Newsbeitrag news: snipped-for-privacy@f14g2000cwb.googlegroups.com...

the ISIM is useable for some applications and there is nothing special required to have it working. if you send me the code that fails I can check with 8.1 to see if it still fail. I assume the 8.1 simulator is better than the 7.1 one

Antti

Reply to
Antti Lukats

Was the attempt with 8.1 successful? I can try it as well...

Paul

snipped-for-privacy@deeptrace.com wrote:

Reply to
Paul Hartke

After getting a reply from Xilinx, it turns out that there is a problem if you install their software in a path that has embedded spaces, such as "C:\Program Files\Xilinx71". That is where I have it installed. They suggested that I re-install in a top level (C:\xilinx) directory. This is rather involved in that I have to re-install it and then re-install the service pacs.

As soon as I have done this, I will post whether it fixed the problem.

Chris

Reply to
mail

Changing to an install path without embeddd spaces got rid of the error. Xilinx says that this problem MAY get fixed in ISE 8.2.

snipped-for-privacy@deeptrace.com wrote:

Reply to
mail

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.