Xilinx ISE 9.2i out of memory

Hi,

ISE reports an out of memory error while synthesizing a design which has 10,000 instantiations of a simple verilog module. The module uses Xilinx primitives FDRSE, RAM32X1S and few assign statements and no other type of statement.There are broadcast connections to all above modules from a central controller and some outputs of each module are connected as inputs to the next module in a daisychain manner.

When I instantiate this module 1000 times the tool is able to synthesise and implement the design. But for 10,000 instantiations, the Xilinx ISE tool reports an out of memory error during synthesis.

I'm using Xilinx ISE evaluation version 9.2i. Target device is Virtex-5 XC5VLX330 and it has plenty of space to accomodate the 10,000 instantiations. The system on which the tool is running has 2GB of memory.

Any help in this regard would be greatly appreciated.

Thanks in advance Ashwini

Reply to
ashwinihs
Loading thread data ...

Hi Ashwini, Did you try adding more memory to your computer? HTH., Syms.

Reply to
Symon

to

Here is the link to the memory requirements for the Xilinx tools and the corresponding parts:

formatting link

Make sure you have those requirements. I remember telling some of Xilinx ISE developers about my setup and how I had "plenty of RAM w/

2GB" and they chimed in with having designs that wouldn't build on only 2GB of RAM.
Reply to
morphiend

when

how to

If you install more than 2GB in your Win32 machine, I believe that is some magic fiddling you need to to to allow a user program to use up to 3GB memory. I believe Xilinx has notes on how to do this.

John Providenza

Reply to
jprovidenza

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.