Xilinx ISE 6.1i - Fatal Error

Haiii all,While I have updated my project directories from ISE webpack

5.1 to ISE 6.1i , the following error occurs during MAP & there is no such error for new projects created in 6.1i.Please provide me the root cause & solution for the issue. Thank you.

ERROR: FATAL_ERROR:Portability:PortDynamicLib.c:278:1.17 - dll open of library failed due to an unknown reason. Process will terminate.

Reply to
tvar_vlsi
Loading thread data ...

Try getting the most updated version of the tool. Usually most of the errors of this kind from the previous versions are fixed in the newest one. Then if the problem still persists, call Xilinx. Nothing else you can do.

One other thing: if you did this with timing driven packing and placement option turned on, turn it off and try again.

V
Reply to
Vladislav Muravin

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.