Just upgraded to Xilinx ISE 8.1i (a bug for my part in 6.3i resulted in route errors) and am now getting syntax errors.(!) And neither errorno gets any hits in Xilinx's Answer Database.
Offending code: port(...; enable_out: out std_logic;...) architecture... signal output_status: std_logic_vector(3 downto 0); begin ... enable_out