Why does ISE 9.2 optimize out the logic

Hi, I want to use RLOC function of ISE 9.2. I find at the end, all logic are optimized out, see below the simplest example. I can see the results after synthesis are correct. How to avoid this? Thanks in advance.

---------

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library UNISIM; use UNISIM.VComponents.all;

--

-- op

Reply to
fl
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.